Logo
Dune NVMe Storage Support
DuneNvme  1.0.2
This is a simple NVMe test environment that allows experimentation with the low level PCIe NVMe interfaces as available on a Xilinx FPGA environment.
AxisClockConverter Entity Reference

AxisStream clock domain crossing module. More...

Inheritance diagram for AxisClockConverter:
Axis_clock_converter NvmeStorageUnit NvmeStorage DuneNvmeTestOsperoTop DuneNvmeTestTop

Entities

Behavioral  architecture
 

Libraries

ieee 
unisim 
work 

Use Clauses

std_logic_1164 
numeric_std 
vcomponents 
NvmeStoragePkg  Package <NvmeStoragePkg>
NvmeStorageIntPkg  Package <NvmeStorageIntPkg>

Generics

Simulate  boolean := False

Ports

clkRx   in   std_logic
  The input stream clock line.
resetRx   in   std_logic
  The input stream reset.
streamRx   inout   AxisStreamType := AxisStreamInput
  The input stream.
clkTx   in   std_logic
  The output stream clock line.
resetTx   in   std_logic
  The output stream reset.
streamTx   inout   AxisStreamType := AxisStreamOutput
  The output stream.

Detailed Description

AxisStream clock domain crossing module.

Author
Terry Barnaby (terry.nosp@m..bar.nosp@m.naby@.nosp@m.beam.nosp@m..ltd..nosp@m.uk)
Date
2020-03-28
Version
1.0.0

This module implements a clock crossing for an AXI4 stream encoded using the AxisStream record type. It uses the Xilinx AXI4 stream CDC IP to implement this. The Simulate parameter reduces the functionality to a simple pass through for simple system simulations.


The documentation for this class was generated from the following file:
  • /src/dune/source/DuneNvme/src/AxisClockConverter.vhd