Release 8.1i - xst I.24 Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to ./xst/projnav.tmp CPU : 0.00 / 0.36 s | Elapsed : 0.00 / 0.00 s --> Parameter xsthdpdir set to ./xst CPU : 0.00 / 0.36 s | Elapsed : 0.00 / 0.00 s --> Reading design: libera_pll.prj TABLE OF CONTENTS 1) Synthesis Options Summary 2) HDL Compilation 3) HDL Analysis 4) HDL Synthesis 4.1) HDL Synthesis Report 5) Advanced HDL Synthesis 5.1) Advanced HDL Synthesis Report 6) Low Level Synthesis 7) Final Report 7.1) Device utilization summary 7.2) TIMING REPORT ========================================================================= * Synthesis Options Summary * ========================================================================= ---- Source Parameters Input File Name : "libera_pll.prj" Input Format : mixed Ignore Synthesis Constraint File : NO ---- Target Parameters Output File Name : "libera_pll" Output Format : NGC Target Device : xc2vp30-6-ff1152 ---- Source Options Top Module Name : libera_pll Automatic FSM Extraction : YES FSM Encoding Algorithm : Auto FSM Style : lut RAM Extraction : Yes RAM Style : Auto ROM Extraction : Yes Mux Style : Auto Decoder Extraction : YES Priority Encoder Extraction : YES Shift Register Extraction : YES Logical Shifter Extraction : YES XOR Collapsing : YES ROM Style : Auto Mux Extraction : YES Resource Sharing : YES Multiplier Style : auto Automatic Register Balancing : No ---- Target Options Add IO Buffers : YES Global Maximum Fanout : 500 Add Generic Clock Buffer(BUFG) : 16 Register Duplication : YES Slice Packing : YES Pack IO Registers into IOBs : auto Equivalent register Removal : YES ---- General Options Optimization Goal : Speed Optimization Effort : 1 Keep Hierarchy : NO RTL Output : Yes Global Optimization : AllClockNets Write Timing Constraints : NO Hierarchy Separator : / Bus Delimiter : <> Case Specifier : maintain Slice Utilization Ratio : 100 Slice Utilization Ratio Delta : 5 ---- Other Options lso : libera_pll.lso Read Cores : YES cross_clock_analysis : NO verilog2001 : YES safe_implementation : No Optimize Instantiated Primitives : NO tristate2logic : Yes use_clock_enable : Yes use_sync_set : Yes use_sync_reset : Yes ========================================================================= ========================================================================= * HDL Compilation * ========================================================================= Compiling vhdl file "C:/DESIGNS/FPGA/Custom_Libera/PLL/BLR3.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/DESIGNS/FPGA/Custom_Libera/PLL/DDS.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/DESIGNS/FPGA/Custom_Libera/PLL/filter_1.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/DESIGNS/FPGA/Custom_Libera/PLL/integrator.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/DESIGNS/FPGA/Custom_Libera/PLL/mux.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/DESIGNS/FPGA/Custom_Libera/PLL/mul32_1.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/DESIGNS/FPGA/Custom_Libera/PLL/pll2.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. Compiling vhdl file "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" in Library work. Entity compiled. Entity (Architecture ) compiled. ========================================================================= * HDL Analysis * ========================================================================= Analyzing Entity (Architecture ). WARNING:Xst:753 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 213: Unconnected output port 'b1_out' of component 'pll2'. WARNING:Xst:753 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 213: Unconnected output port 'blr_regulator_out' of component 'pll2'. WARNING:Xst:753 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 213: Unconnected output port 'DC_OUT' of component 'pll2'. WARNING:Xst:753 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 213: Unconnected output port 'result_1' of component 'pll2'. WARNING:Xst:753 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 213: Unconnected output port 'result_2' of component 'pll2'. WARNING:Xst:753 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 213: Unconnected output port 'result_valid_1' of component 'pll2'. WARNING:Xst:753 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 213: Unconnected output port 'result_valid_2' of component 'pll2'. WARNING:Xst:766 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 331: Generating a Black Box for component . WARNING:Xst:766 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 345: Generating a Black Box for component . WARNING:Xst:766 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 361: Generating a Black Box for component . WARNING:Xst:766 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 375: Generating a Black Box for component . WARNING:Xst:766 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 391: Generating a Black Box for component . WARNING:Xst:819 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd" line 409: The following signals are missing in the process sensitivity list: timer. Entity analyzed. Unit generated. Analyzing Entity (Architecture ). WARNING:Xst:766 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/pll2.vhd" line 253: Generating a Black Box for component . WARNING:Xst:753 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/pll2.vhd" line 270: Unconnected output port 'b1_out' of component 'BLR3'. WARNING:Xst:753 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/pll2.vhd" line 270: Unconnected output port 'e_out' of component 'BLR3'. WARNING:Xst:753 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/pll2.vhd" line 279: Unconnected output port 'b1_out' of component 'BLR3'. WARNING:Xst:753 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/pll2.vhd" line 279: Unconnected output port 'e_out' of component 'BLR3'. Entity analyzed. Unit generated. Analyzing Entity (Architecture ). Entity analyzed. Unit generated. Analyzing Entity (Architecture ). WARNING:Xst:819 - "C:/DESIGNS/FPGA/Custom_Libera/PLL/DDS.vhd" line 49: The following signals are missing in the process sensitivity list: f1_init. Entity analyzed. Unit generated. Analyzing Entity (Architecture ). Entity analyzed. Unit generated. Analyzing Entity (Architecture ). Entity analyzed. Unit generated. Analyzing Entity (Architecture ). Entity analyzed. Unit generated. Analyzing Entity (Architecture ). Entity analyzed. Unit generated. ========================================================================= * HDL Synthesis * ========================================================================= Synthesizing Unit . Related source file is "C:/DESIGNS/FPGA/Custom_Libera/PLL/mul32_1.vhd". Found 24-bit adder for signal . Summary: inferred 1 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/DESIGNS/FPGA/Custom_Libera/PLL/mux.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/DESIGNS/FPGA/Custom_Libera/PLL/integrator.vhd". Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 6 | | Inputs | 1 | | Outputs | 2 | | Clock | clk (rising_edge) | | Reset | init (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | automatic | | Implementation | LUT | ----------------------------------------------------------------------- Found 32-bit register for signal . Found 32-bit up accumulator for signal . Summary: inferred 1 Finite State Machine(s). inferred 1 Accumulator(s). inferred 32 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/DESIGNS/FPGA/Custom_Libera/PLL/filter_1.vhd". WARNING:Xst:647 - Input > is never used. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. Found 24-bit subtractor for signal <$n0007> created at line 59. Found 24-bit subtractor for signal <$n0008> created at line 60. Found 24-bit subtractor for signal <$n0009> created at line 63. Found 24-bit subtractor for signal <$n0010> created at line 64. Found 24-bit subtractor for signal <$n0011> created at line 67. Found 24-bit subtractor for signal <$n0012> created at line 61. Found 24-bit subtractor for signal <$n0013> created at line 65. Found 24-bit adder for signal <$n0014> created at line 59. Found 24-bit adder for signal <$n0015> created at line 60. Found 24-bit adder for signal <$n0016> created at line 64. Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Summary: inferred 168 D-type flip-flop(s). inferred 10 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/DESIGNS/FPGA/Custom_Libera/PLL/DDS.vhd". Found 9-bit adder for signal . Found 32-bit down accumulator for signal . Found 32-bit up accumulator for signal . Summary: inferred 2 Accumulator(s). inferred 1 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/DESIGNS/FPGA/Custom_Libera/PLL/BLR3.vhd". Found 24-bit subtractor for signal <$n0000> created at line 62. Found 24-bit adder for signal <$n0001> created at line 64. Found 24-bit up accumulator for signal . Found 24-bit up accumulator for signal . Found 24-bit register for signal . Found 24-bit register for signal . Summary: inferred 2 Accumulator(s). inferred 48 D-type flip-flop(s). inferred 2 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/DESIGNS/FPGA/Custom_Libera/PLL/pll2.vhd". Found 32-bit 16-to-1 multiplexer for signal . Summary: inferred 32 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/DESIGNS/FPGA/Custom_Libera/PLL/libera_pll.vhd". WARNING:Xst:1306 - Output is never assigned. WARNING:Xst:647 - Input is never used. WARNING:Xst:647 - Input is never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:1780 - Signal > is never used or assigned. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:1780 - Signal > is never used or assigned. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:1780 - Signal > is never used or assigned. WARNING:Xst:646 - Signal > is assigned but never used. Found 14-bit 4-to-1 multiplexer for signal . Found 32-bit 4-to-1 multiplexer for signal . Found 32-bit 4-to-1 multiplexer for signal . Found 32-bit 4-to-1 multiplexer for signal . Found 32-bit 4-to-1 multiplexer for signal . Found 8-bit 4-to-1 multiplexer for signal . Found 24-bit down counter for signal . Summary: inferred 1 Counter(s). inferred 150 Multiplexer(s). Unit synthesized. ========================================================================= HDL Synthesis Report Macro Statistics # Adders/Subtractors : 40 24-bit adder : 15 24-bit subtractor : 24 9-bit adder : 1 # Counters : 1 24-bit down counter : 1 # Accumulators : 11 24-bit up accumulator : 6 32-bit down accumulator : 1 32-bit up accumulator : 4 # Registers : 30 24-bit register : 27 32-bit register : 3 # Multiplexers : 7 14-bit 4-to-1 multiplexer : 1 32-bit 16-to-1 multiplexer : 1 32-bit 4-to-1 multiplexer : 4 8-bit 4-to-1 multiplexer : 1 ========================================================================= ========================================================================= * Advanced HDL Synthesis * ========================================================================= Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. ----------------------- State | Encoding ----------------------- idle | 00 integrate | 01 ready | 11 reset_acc | 10 ----------------------- Reading module "icon.ngo" ( "icon.ngo" unchanged since last run )... WARNING:Xst:1474 - Core was not loaded for as one or more ports did not line up with component declaration. Declared output port > was not found in the core. Please make sure that component declaration ports are consistent with the core ports including direction and bus-naming conventions. WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . ========================================================================= Advanced HDL Synthesis Report Macro Statistics # FSMs : 1 # Adders/Subtractors : 40 24-bit adder : 15 24-bit subtractor : 24 9-bit adder : 1 # Counters : 1 24-bit down counter : 1 # Accumulators : 11 24-bit up accumulator : 6 32-bit down accumulator : 1 32-bit up accumulator : 4 # Registers : 244 Flip-Flops : 244 # Multiplexers : 7 14-bit 4-to-1 multiplexer : 1 32-bit 16-to-1 multiplexer : 1 32-bit 4-to-1 multiplexer : 4 8-bit 4-to-1 multiplexer : 1 ========================================================================= ========================================================================= * Low Level Synthesis * ========================================================================= WARNING:Xst:524 - All outputs of the instance of the block are unconnected in block . This instance will be removed from the design along with all underlying logic WARNING:Xst:524 - All outputs of the instance of the block are unconnected in block . This instance will be removed from the design along with all underlying logic Loading device for application Rf_Device from file '2vp30.nph' in environment C:\Xilinx. Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Mapping all equations... WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . Building and optimizing final netlist ... Found area constraint ratio of 100 (+ 5) on block libera_pll, actual ratio is 5. FlipFlop XLXI_18/filter1/n6_23 has been replicated 3 time(s) ========================================================================= * Final Report * ========================================================================= Final Results RTL Top Level Output File Name : libera_pll.ngr Top Level Output File Name : libera_pll Output Format : NGC Optimization Goal : Speed Keep Hierarchy : NO Design Statistics # IOs : 236 Cell Usage : # BELS : 2883 # GND : 1 # INV : 54 # LUT1 : 13 # LUT1_L : 1 # LUT2 : 367 # LUT2_D : 5 # LUT2_L : 252 # LUT3 : 337 # LUT3_D : 11 # LUT3_L : 16 # LUT4 : 186 # LUT4_D : 11 # LUT4_L : 112 # MUXCY : 686 # MUXF5 : 147 # VCC : 1 # XORCY : 683 # FlipFlops/Latches : 575 # FDC : 106 # FDC_1 : 23 # FDCE : 24 # FDCPE : 32 # FDE : 32 # FDP_1 : 1 # FDR : 325 # FDRE : 32 # Clock Buffers : 1 # BUFGP : 1 # IO Buffers : 201 # IBUF : 105 # OBUF : 96 # Others : 6 # icon : 1 # ila_2CH : 1 # ila_4CH : 1 # rom512x4 : 1 # vio : 1 # vio_control : 1 ========================================================================= Device utilization summary: --------------------------- Selected Device : 2vp30ff1152-6 Number of Slices: 705 out of 13696 5% Number of Slice Flip Flops: 575 out of 27392 2% Number of 4 input LUTs: 1311 out of 27392 4% Number of bonded IOBs: 202 out of 644 31% Number of GCLKs: 1 out of 16 6% ========================================================================= TIMING REPORT NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT GENERATED AFTER PLACE-and-ROUTE. Clock Information: ------------------ -----------------------------------+------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | -----------------------------------+------------------------+-------+ adc_clk0_i | BUFGP | 575 | -----------------------------------+------------------------+-------+ Timing Summary: --------------- Speed Grade: -6 Minimum period: 7.331ns (Maximum Frequency: 136.416MHz) Minimum input arrival time before clock: 9.873ns Maximum output required time after clock: 4.748ns Maximum combinational path delay: 6.481ns Timing Detail: -------------- All values displayed in nanoseconds (ns) ========================================================================= Timing constraint: Default period analysis for Clock 'adc_clk0_i' Clock period: 7.331ns (frequency: 136.416MHz) Total number of paths / destination ports: 88005 / 639 ------------------------------------------------------------------------- Delay: 7.331ns (Levels of Logic = 29) Source: XLXI_18/filter1/n6_16 (FF) Destination: XLXI_18/DDS1/f1_31 (FF) Source Clock: adc_clk0_i rising Destination Clock: adc_clk0_i rising Data Path: XLXI_18/filter1/n6_16 to XLXI_18/DDS1/f1_31 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDR:C->Q 14 0.374 0.765 XLXI_18/filter1/n6_16 (XLXI_18/filter1/n6_16) LUT3_L:I1->LO 1 0.313 0.000 config_i<5>_mmx_out1411_F (N4008) MUXF5:I0->O 3 0.340 0.495 config_i<5>_mmx_out1411 (mux_1_config_i<5>_mmx_out14) LUT3:I2->O 1 0.313 0.418 F_ERR_out<9>25 (F_ERR_out<9>_map875) LUT4:I2->O 3 0.313 0.583 F_ERR_out<9>81 (F_ERR_out<9>) LUT2_L:I1->LO 1 0.313 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<9>lut (XLXI_18/DDS1/f1_N12) MUXCY:S->O 1 0.377 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<9>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<9>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<10>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<10>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<11>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<11>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<12>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<12>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<13>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<13>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<14>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<14>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<15>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<15>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<16>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<16>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<17>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<17>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<18>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<18>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<19>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<19>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<20>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<20>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<21>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<21>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<22>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<22>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<23>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<23>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<24>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<24>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<25>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<25>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<26>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<26>_cyo) MUXCY:CI->O 1 0.041 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<27>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<27>_cyo) MUXCY:CI->O 1 0.041 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<28>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<28>_cyo) MUXCY:CI->O 1 0.041 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<29>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<29>_cyo) MUXCY:CI->O 0 0.041 0.000 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<30>cy (XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<30>_cyo) XORCY:CI->O 1 0.868 0.440 XLXI_18/DDS1/f1_LPM_ACCUM_3__n0000<31>_xor (XLXI_18/DDS1/f1__n0000<31>) LUT4_L:I3->LO 1 0.313 0.000 XLXI_18/DDS1/f1__n0001<31>1 (XLXI_18/DDS1/f1__n0001<31>) FDCPE:D 0.234 XLXI_18/DDS1/f1_31 ---------------------------------------- Total 7.331ns (4.630ns logic, 2.701ns route) (63.2% logic, 36.8% route) ========================================================================= Timing constraint: Default OFFSET IN BEFORE for Clock 'adc_clk0_i' Total number of paths / destination ports: 498042 / 572 ------------------------------------------------------------------------- Offset: 9.873ns (Levels of Logic = 32) Source: adc_a_i<0> (PAD) Destination: XLXI_18/filter1/n2_23 (FF) Destination Clock: adc_clk0_i rising Data Path: adc_a_i<0> to XLXI_18/filter1/n2_23 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ IBUF:I->O 2 0.919 0.561 adc_a_i_0_IBUF (adc_a_i_0_IBUF) LUT3:I1->O 1 0.313 0.000 Mmux_ADC_SEL_ADC_SEL<0>111_F (N3834) MUXF5:I0->O 3 0.340 0.610 Mmux_ADC_SEL_ADC_SEL<0>111 (XLXI_18/XLXN_22<0>) LUT1:I0->O 1 0.313 0.000 XLXI_18/XLXN_22<0>_rt (XLXI_18/XLXN_22<0>_rt) MUXCY:S->O 1 0.377 0.000 libera_pll_XLXI_18/mul1/neg<0>cy (libera_pll_XLXI_18/mul1/neg<0>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<1>cy (libera_pll_XLXI_18/mul1/neg<1>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<2>cy (libera_pll_XLXI_18/mul1/neg<2>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<3>cy (libera_pll_XLXI_18/mul1/neg<3>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<4>cy (libera_pll_XLXI_18/mul1/neg<4>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<5>cy (libera_pll_XLXI_18/mul1/neg<5>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<6>cy (libera_pll_XLXI_18/mul1/neg<6>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<7>cy (libera_pll_XLXI_18/mul1/neg<7>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<8>cy (libera_pll_XLXI_18/mul1/neg<8>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<9>cy (libera_pll_XLXI_18/mul1/neg<9>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<10>cy (libera_pll_XLXI_18/mul1/neg<10>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<11>cy (libera_pll_XLXI_18/mul1/neg<11>_cyo) MUXCY:CI->O 1 0.042 0.000 libera_pll_XLXI_18/mul1/neg<12>cy (libera_pll_XLXI_18/mul1/neg<12>_cyo) MUXCY:CI->O 0 0.042 0.000 libera_pll_XLXI_18/mul1/neg<13>cy (libera_pll_XLXI_18/mul1/neg<13>_cyo) XORCY:CI->O 11 0.868 0.641 libera_pll_XLXI_18/mul1/neg<14>_xor (XLXI_18/mul1/neg<14>) LUT3:I2->O 0 0.313 0.000 XLXI_18/XLXN_22<31>1 (XLXI_18/XLXN_22<31>) MUXCY:DI->O 1 0.595 0.000 XLXI_18/filter1/filter_1__n0014<14>cy (XLXI_18/filter1/filter_1__n0014<14>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/filter1/filter_1__n0014<15>cy (XLXI_18/filter1/filter_1__n0014<15>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/filter1/filter_1__n0014<16>cy (XLXI_18/filter1/filter_1__n0014<16>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/filter1/filter_1__n0014<17>cy (XLXI_18/filter1/filter_1__n0014<17>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/filter1/filter_1__n0014<18>cy (XLXI_18/filter1/filter_1__n0014<18>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/filter1/filter_1__n0014<19>cy (XLXI_18/filter1/filter_1__n0014<19>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/filter1/filter_1__n0014<20>cy (XLXI_18/filter1/filter_1__n0014<20>_cyo) MUXCY:CI->O 1 0.042 0.000 XLXI_18/filter1/filter_1__n0014<21>cy (XLXI_18/filter1/filter_1__n0014<21>_cyo) XORCY:CI->O 1 0.868 0.533 XLXI_18/filter1/filter_1__n0014<22>_xor (XLXI_18/filter1/_n0014<22>) LUT2_L:I0->LO 1 0.313 0.000 XLXI_18/filter1/filter_1__n0007<22>lut (XLXI_18/filter1/N202) MUXCY:S->O 0 0.377 0.000 XLXI_18/filter1/filter_1__n0007<22>cy (XLXI_18/filter1/filter_1__n0007<22>_cyo) XORCY:CI->O 1 0.868 0.000 XLXI_18/filter1/filter_1__n0007<23>_xor (XLXI_18/filter1/_n0007<23>) FDR:D 0.234 XLXI_18/filter1/n2_23 ---------------------------------------- Total 9.873ns (7.528ns logic, 2.345ns route) (76.2% logic, 23.8% route) ========================================================================= Timing constraint: Default OFFSET OUT AFTER for Clock 'adc_clk0_i' Total number of paths / destination ports: 1137 / 172 ------------------------------------------------------------------------- Offset: 4.748ns (Levels of Logic = 6) Source: XLXI_18/filter1/n6_16 (FF) Destination: i_ila_4CH:trig0<5> (PAD) Source Clock: adc_clk0_i rising Data Path: XLXI_18/filter1/n6_16 to i_ila_4CH:trig0<5> Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDR:C->Q 14 0.374 0.765 XLXI_18/filter1/n6_16 (XLXI_18/filter1/n6_16) LUT3_L:I1->LO 1 0.313 0.000 config_i<5>_mmx_out1411_F (N4008) MUXF5:I0->O 3 0.340 0.610 config_i<5>_mmx_out1411 (mux_1_config_i<5>_mmx_out14) LUT3:I0->O 1 0.313 0.506 F_ERR_out<5>106 (F_ERR_out<5>_map1118) LUT4:I1->O 2 0.313 0.561 F_ERR_out<5>115 (mux_1_F_ERR_out<5>) LUT3:I1->O 1 0.313 0.000 ILA4_CH0<5>29_G (N3775) MUXF5:I1->O 0 0.340 0.000 ILA4_CH0<5>29 (ILA4_CH0<5>) ila_4CH:trig0<5> 0.000 i_ila_4CH ---------------------------------------- Total 4.748ns (2.306ns logic, 2.442ns route) (48.6% logic, 51.4% route) ========================================================================= Timing constraint: Default path analysis Total number of paths / destination ports: 1843 / 403 ------------------------------------------------------------------------- Delay: 6.481ns (Levels of Logic = 8) Source: config_i<4> (PAD) Destination: i_ila_4CH:trig0<14> (PAD) Data Path: config_i<4> to i_ila_4CH:trig0<14> Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ IBUF:I->O 78 0.919 0.983 config_i_4_IBUF (config_i_4_IBUF) LUT4:I1->O 14 0.313 0.792 Ker41 (N4) LUT4:I0->O 1 0.313 0.440 F_ERR_out<14>4 (F_ERR_out<14>_map747) LUT4_L:I3->LO 1 0.313 0.128 F_ERR_out<14>7 (F_ERR_out<14>_map749) LUT4:I2->O 3 0.313 0.495 F_ERR_out<14>28 (F_ERR_out<14>_map756) LUT3:I2->O 1 0.313 0.506 F_ERR_out<14>80 (F_ERR_out<14>) LUT3:I1->O 1 0.313 0.000 ILA4_CH0<14>28_G (N3815) MUXF5:I1->O 0 0.340 0.000 ILA4_CH0<14>28 (ILA4_CH0<14>) ila_4CH:trig0<14> 0.000 i_ila_4CH ---------------------------------------- Total 6.481ns (3.137ns logic, 3.344ns route) (48.4% logic, 51.6% route) ========================================================================= CPU : 64.00 / 64.41 s | Elapsed : 64.00 / 64.00 s --> Total memory usage is 190088 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 491 ( 0 filtered) Number of infos : 0 ( 0 filtered)