# # Usage: generate.exe ila_example -f= # -tool=xst -language=VHDL -outputdirectory=M:\FULL_DESIGN\chipscope -compname=ila -numtrigports=1 -trigportwidth0=8 -datasameastrig -datawidth=8