(edif test (edifVersion 2 0 0) (edifLevel 0) (keywordMap (keywordLevel 0)) (status (written (timeStamp 2006 6 28 17 15 22) (author "Xilinx, Inc.") (program "ChipScope Pro Core Generator" (version "8.1.02i (build 08102.6.41.120)")))) (comment "**********************************") (comment "Component name: icon_pro") (comment "Device family: Virtex2P") (comment "Control port count: 1") (comment "Enable BSCAN instance: true") (comment "BSCAN chain: USER1") (comment "Enable JTAG global clock buffer: false") (comment "Enable unused BSCAN ports: false") (comment "Force RPM Grid Usage: no") (comment "Resource Utilization Estimate LUT:97 FF:28 BRAM:0") (comment "**********************************") (comment " This file is owned and controlled by Xilinx and must be used solely for design, simulation, implementation and creation of design files limited to Xilinx devices or technologies. Use with non-Xilinx devices or technologies is expressly prohibited and immediately terminates your license. XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION 'AS IS' SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. Xilinx products are not intended for use in life support appliances, devices, or systems. Use in such applications are expressly prohibited. (c) Copyright 1995-2004 Xilinx, Inc. All rights reserved. ") (comment "Core parameters: ") (comment "c_use_control2 = 0 ") (comment "c_use_control1 = 0 ") (comment "c_user_scan_chain = 1 ") (comment "c_use_control0 = 1 ") (comment "c_major_version = 8 ") (comment "c_core_type = 1 ") (comment "c_device_family = 3 ") (comment "c_use_ext_bscan = 0 ") (comment "c_num_control_ports = 1 ") (comment "c_use_jtag_bufg = 0 ") (comment "c_mfg_id = 1 ") (comment "InstanceName = icon_pro ") (comment "c_use_control14 = 0 ") (comment "c_use_control13 = 0 ") (comment "c_use_control12 = 0 ") (comment "c_use_control11 = 0 ") (comment "c_use_control10 = 0 ") (comment "c_use_unused_bscan = 0 ") (comment "c_use_control9 = 0 ") (comment "c_minor_version = 1 ") (comment "c_use_control8 = 0 ") (comment "c_use_control7 = 0 ") (comment "c_use_control6 = 0 ") (comment "c_use_control5 = 0 ") (comment "c_use_control4 = 0 ") (comment "c_build_revision = 1 ") (comment "c_use_control3 = 0 ") (external xilinxun (edifLevel 0) (technology (numberDefinition)) (cell VCC (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port P (direction OUTPUT)) ) ) ) (cell GND (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port G (direction OUTPUT)) ) ) ) (cell BSCAN_VIRTEX2 (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port RESET (direction OUTPUT)) (port UPDATE (direction OUTPUT)) (port SHIFT (direction OUTPUT)) (port DRCK1 (direction OUTPUT)) (port DRCK2 (direction OUTPUT)) (port SEL1 (direction OUTPUT)) (port SEL2 (direction OUTPUT)) (port TDI (direction OUTPUT)) (port TDO1 (direction INPUT)) (port TDO2 (direction INPUT)) ) ) ) (cell FDC (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port D (direction INPUT)) (port C (direction INPUT)) (port CLR (direction INPUT)) (port Q (direction OUTPUT)) ) ) ) (cell FDCE (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port D (direction INPUT)) (port C (direction INPUT)) (port CE (direction INPUT)) (port CLR (direction INPUT)) (port Q (direction OUTPUT)) ) ) ) (cell FDE (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port D (direction INPUT)) (port C (direction INPUT)) (port CE (direction INPUT)) (port Q (direction OUTPUT)) ) ) ) (cell FDR (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port D (direction INPUT)) (port C (direction INPUT)) (port R (direction INPUT)) (port Q (direction OUTPUT)) ) ) ) (cell FDRE (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port D (direction INPUT)) (port C (direction INPUT)) (port CE (direction INPUT)) (port R (direction INPUT)) (port Q (direction OUTPUT)) ) ) ) (cell INV (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port I (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell LUT1 (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port I0 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell LUT2 (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell LUT3 (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port I2 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell LUT4 (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port I2 (direction INPUT)) (port I3 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell MUXCY_L (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port DI (direction INPUT)) (port CI (direction INPUT)) (port S (direction INPUT)) (port LO (direction OUTPUT)) ) ) ) (cell MUXF5 (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port S (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell MUXF6 (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port S (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell MUXF7 (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port I0 (direction INPUT)) (port I1 (direction INPUT)) (port S (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell XORCY (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port LI (direction INPUT)) (port CI (direction INPUT)) (port O (direction OUTPUT)) ) ) ) ) (library test_lib (edifLevel 0) (technology (numberDefinition (scale 1 (E 1 -12) (unit Time)))) (cell icon_pro (cellType GENERIC) (view view_1 (viewType NETLIST) (interface (port ( rename control0_35_ "control0<35>") (direction INOUT)) (port ( rename control0_34_ "control0<34>") (direction INOUT)) (port ( rename control0_33_ "control0<33>") (direction INOUT)) (port ( rename control0_32_ "control0<32>") (direction INOUT)) (port ( rename control0_31_ "control0<31>") (direction INOUT)) (port ( rename control0_30_ "control0<30>") (direction INOUT)) (port ( rename control0_29_ "control0<29>") (direction INOUT)) (port ( rename control0_28_ "control0<28>") (direction INOUT)) (port ( rename control0_27_ "control0<27>") (direction INOUT)) (port ( rename control0_26_ "control0<26>") (direction INOUT)) (port ( rename control0_25_ "control0<25>") (direction INOUT)) (port ( rename control0_24_ "control0<24>") (direction INOUT)) (port ( rename control0_23_ "control0<23>") (direction INOUT)) (port ( rename control0_22_ "control0<22>") (direction INOUT)) (port ( rename control0_21_ "control0<21>") (direction INOUT)) (port ( rename control0_20_ "control0<20>") (direction INOUT)) (port ( rename control0_19_ "control0<19>") (direction INOUT)) (port ( rename control0_18_ "control0<18>") (direction INOUT)) (port ( rename control0_17_ "control0<17>") (direction INOUT)) (port ( rename control0_16_ "control0<16>") (direction INOUT)) (port ( rename control0_15_ "control0<15>") (direction INOUT)) (port ( rename control0_14_ "control0<14>") (direction INOUT)) (port ( rename control0_13_ "control0<13>") (direction INOUT)) (port ( rename control0_12_ "control0<12>") (direction INOUT)) (port ( rename control0_11_ "control0<11>") (direction INOUT)) (port ( rename control0_10_ "control0<10>") (direction INOUT)) (port ( rename control0_9_ "control0<9>") (direction INOUT)) (port ( rename control0_8_ "control0<8>") (direction INOUT)) (port ( rename control0_7_ "control0<7>") (direction INOUT)) (port ( rename control0_6_ "control0<6>") (direction INOUT)) (port ( rename control0_5_ "control0<5>") (direction INOUT)) (port ( rename control0_4_ "control0<4>") (direction INOUT)) (port ( rename control0_3_ "control0<3>") (direction INOUT)) (port ( rename control0_2_ "control0<2>") (direction INOUT)) (port ( rename control0_1_ "control0<1>") (direction INOUT)) (port ( rename control0_0_ "control0<0>") (direction INOUT)) ) (contents (instance VCC (viewRef view_1 (cellRef VCC (libraryRef xilinxun)))) (instance GND (viewRef view_1 (cellRef GND (libraryRef xilinxun)))) (instance (rename icon_pro_u_icon_i_yes_bscan_u_bs_i_v2_u_bs "icon_pro/u_icon/i_yes_bscan/u_bs/i_v2/u_bs") (viewRef view_1 (cellRef BSCAN_VIRTEX2 (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_tdi_reg "icon_pro/u_icon/u_tdi_reg") (viewRef view_1 (cellRef FDE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_tdo_reg "icon_pro/u_icon/u_tdo_reg") (viewRef view_1 (cellRef FDE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_isel_n "icon_pro/u_icon/u_isel_n") (viewRef view_1 (cellRef INV (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_idata_cmd "icon_pro/u_icon/u_idata_cmd") (viewRef view_1 (cellRef FDC (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_idata_cmd_n "icon_pro/u_icon/u_idata_cmd_n") (viewRef view_1 (cellRef INV (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_u_sel_n "icon_pro/u_icon/u_cmd/u_sel_n") (viewRef view_1 (cellRef INV (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_u_target_ce "icon_pro/u_icon/u_cmd/u_target_ce") (viewRef view_1 (cellRef LUT2 (libraryRef xilinxun))) (property INIT (string "4")) ) (instance (rename icon_pro_u_icon_u_cmd_g_target_15_i_eq0_u_target "icon_pro/u_icon/u_cmd/g_target/15/i_eq0/u_target") (viewRef view_1 (cellRef FDCE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_g_target_14_i_ne0_u_target "icon_pro/u_icon/u_cmd/g_target/14/i_ne0/u_target") (viewRef view_1 (cellRef FDCE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_g_target_13_i_ne0_u_target "icon_pro/u_icon/u_cmd/g_target/13/i_ne0/u_target") (viewRef view_1 (cellRef FDCE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_g_target_12_i_ne0_u_target "icon_pro/u_icon/u_cmd/g_target/12/i_ne0/u_target") (viewRef view_1 (cellRef FDCE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_g_target_11_i_ne0_u_target "icon_pro/u_icon/u_cmd/g_target/11/i_ne0/u_target") (viewRef view_1 (cellRef FDCE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_g_target_10_i_ne0_u_target "icon_pro/u_icon/u_cmd/g_target/10/i_ne0/u_target") (viewRef view_1 (cellRef FDCE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_g_target_9_i_ne0_u_target "icon_pro/u_icon/u_cmd/g_target/9/i_ne0/u_target") (viewRef view_1 (cellRef FDCE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_g_target_8_i_ne0_u_target "icon_pro/u_icon/u_cmd/g_target/8/i_ne0/u_target") (viewRef view_1 (cellRef FDCE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_g_target_7_i_ne0_u_target "icon_pro/u_icon/u_cmd/g_target/7/i_ne0/u_target") (viewRef view_1 (cellRef FDCE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_g_target_6_i_ne0_u_target "icon_pro/u_icon/u_cmd/g_target/6/i_ne0/u_target") (viewRef view_1 (cellRef FDCE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_0_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/0/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0001")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_1_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/1/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0002")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_2_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/2/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0004")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_3_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/3/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0008")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_4_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/4/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0010")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_5_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/5/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0020")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_6_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/6/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0040")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_7_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/7/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0080")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_8_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/8/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0100")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_9_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/9/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0200")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_10_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/10/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0400")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_11_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/11/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0800")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_12_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/12/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "1000")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_13_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/13/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "2000")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_14_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/14/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "4000")) ) (instance (rename icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_15_u_lut "icon_pro/u_icon/u_cmd/u_core_id_sel/i4/fi/15/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_0_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/0/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0001")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_1_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/1/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0002")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_2_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/2/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0004")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_3_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/3/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0008")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_4_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/4/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0010")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_5_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/5/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0020")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_6_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/6/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0040")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_7_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/7/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0080")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_8_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/8/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0100")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_9_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/9/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0200")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_10_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/10/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0400")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_11_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/11/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0800")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_12_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/12/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "1000")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_13_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/13/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "2000")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_14_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/14/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "4000")) ) (instance (rename icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_15_u_lut "icon_pro/u_icon/u_cmd/u_command_sel/i4/fi/15/u_lut") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_sync_u_idata_cmd_n "icon_pro/u_icon/u_sync/u_idata_cmd_n") (viewRef view_1 (cellRef INV (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_sync_g_sync_word_6_i_eq0_u_fdr "icon_pro/u_icon/u_sync/g_sync_word/6/i_eq0/u_fdr") (viewRef view_1 (cellRef FDR (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_sync_g_sync_word_5_i_ne0_u_fdr "icon_pro/u_icon/u_sync/g_sync_word/5/i_ne0/u_fdr") (viewRef view_1 (cellRef FDR (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_sync_g_sync_word_4_i_ne0_u_fdr "icon_pro/u_icon/u_sync/g_sync_word/4/i_ne0/u_fdr") (viewRef view_1 (cellRef FDR (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_sync_g_sync_word_3_i_ne0_u_fdr "icon_pro/u_icon/u_sync/g_sync_word/3/i_ne0/u_fdr") (viewRef view_1 (cellRef FDR (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_sync_g_sync_word_2_i_ne0_u_fdr "icon_pro/u_icon/u_sync/g_sync_word/2/i_ne0/u_fdr") (viewRef view_1 (cellRef FDR (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_sync_g_sync_word_1_i_ne0_u_fdr "icon_pro/u_icon/u_sync/g_sync_word/1/i_ne0/u_fdr") (viewRef view_1 (cellRef FDR (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_sync_g_sync_word_0_i_ne0_u_fdr "icon_pro/u_icon/u_sync/g_sync_word/0/i_ne0/u_fdr") (viewRef view_1 (cellRef FDR (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_sync_u_got_sync_h "icon_pro/u_icon/u_sync/u_got_sync_h") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0400")) ) (instance (rename icon_pro_u_icon_u_sync_u_got_sync_l "icon_pro/u_icon/u_sync/u_got_sync_l") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0200")) ) (instance (rename icon_pro_u_icon_u_sync_u_got_sync "icon_pro/u_icon/u_sync/u_got_sync") (viewRef view_1 (cellRef LUT2 (libraryRef xilinxun))) (property INIT (string "8")) ) (instance (rename icon_pro_u_icon_u_sync_u_sync "icon_pro/u_icon/u_sync/u_sync") (viewRef view_1 (cellRef FDRE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_ctrl_out_u_data_valid "icon_pro/u_icon/u_ctrl_out/u_data_valid") (viewRef view_1 (cellRef LUT2 (libraryRef xilinxun))) (property INIT (string "8")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_u_cmdgrp0 "icon_pro/u_icon/u_ctrl_out/u_cmdgrp0") (viewRef view_1 (cellRef LUT2 (libraryRef xilinxun))) (property INIT (string "1")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_u_cmdgrp1 "icon_pro/u_icon/u_ctrl_out/u_cmdgrp1") (viewRef view_1 (cellRef LUT2 (libraryRef xilinxun))) (property INIT (string "2")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/15/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/15/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/14/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/14/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/13/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/13/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/12/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/12/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/11/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/11/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/10/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/10/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/9/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/9/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/8/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/8/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/7/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/7/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/6/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/6/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/5/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/5/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/4/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/4/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/3/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/3/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/2/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/2/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/1/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/1/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_lce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/0/u_lce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_hce "icon_pro/u_icon/u_ctrl_out/f_ncp/0/f_cmd/0/u_hce") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_stat_u_data_valid "icon_pro/u_icon/u_stat/u_data_valid") (viewRef view_1 (cellRef LUT2 (libraryRef xilinxun))) (property INIT (string "8")) ) (instance (rename icon_pro_u_icon_u_stat_u_cmdgrp0 "icon_pro/u_icon/u_stat/u_cmdgrp0") (viewRef view_1 (cellRef LUT2 (libraryRef xilinxun))) (property INIT (string "1")) ) (instance (rename icon_pro_u_icon_u_stat_u_statcmd "icon_pro/u_icon/u_stat/u_statcmd") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8000")) ) (instance (rename icon_pro_u_icon_u_stat_u_statcmd_n "icon_pro/u_icon/u_stat/u_statcmd_n") (viewRef view_1 (cellRef INV (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_lut "icon_pro/u_icon/u_stat/u_stat_cnt/g/5/u_lut") (viewRef view_1 (cellRef LUT1 (libraryRef xilinxun))) (property INIT (string "2")) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_xorcy "icon_pro/u_icon/u_stat/u_stat_cnt/g/5/u_xorcy") (viewRef view_1 (cellRef XORCY (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_fdre "icon_pro/u_icon/u_stat/u_stat_cnt/g/5/u_fdre") (viewRef view_1 (cellRef FDRE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_lut "icon_pro/u_icon/u_stat/u_stat_cnt/g/4/u_lut") (viewRef view_1 (cellRef LUT1 (libraryRef xilinxun))) (property INIT (string "2")) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_4_gnh_u_muxcy "icon_pro/u_icon/u_stat/u_stat_cnt/g/4/gnh/u_muxcy") (viewRef view_1 (cellRef MUXCY_L (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_xorcy "icon_pro/u_icon/u_stat/u_stat_cnt/g/4/u_xorcy") (viewRef view_1 (cellRef XORCY (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_fdre "icon_pro/u_icon/u_stat/u_stat_cnt/g/4/u_fdre") (viewRef view_1 (cellRef FDRE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_lut "icon_pro/u_icon/u_stat/u_stat_cnt/g/3/u_lut") (viewRef view_1 (cellRef LUT1 (libraryRef xilinxun))) (property INIT (string "2")) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_3_gnh_u_muxcy "icon_pro/u_icon/u_stat/u_stat_cnt/g/3/gnh/u_muxcy") (viewRef view_1 (cellRef MUXCY_L (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_xorcy "icon_pro/u_icon/u_stat/u_stat_cnt/g/3/u_xorcy") (viewRef view_1 (cellRef XORCY (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_fdre "icon_pro/u_icon/u_stat/u_stat_cnt/g/3/u_fdre") (viewRef view_1 (cellRef FDRE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_lut "icon_pro/u_icon/u_stat/u_stat_cnt/g/2/u_lut") (viewRef view_1 (cellRef LUT1 (libraryRef xilinxun))) (property INIT (string "2")) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_2_gnh_u_muxcy "icon_pro/u_icon/u_stat/u_stat_cnt/g/2/gnh/u_muxcy") (viewRef view_1 (cellRef MUXCY_L (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_xorcy "icon_pro/u_icon/u_stat/u_stat_cnt/g/2/u_xorcy") (viewRef view_1 (cellRef XORCY (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_fdre "icon_pro/u_icon/u_stat/u_stat_cnt/g/2/u_fdre") (viewRef view_1 (cellRef FDRE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_lut "icon_pro/u_icon/u_stat/u_stat_cnt/g/1/u_lut") (viewRef view_1 (cellRef LUT1 (libraryRef xilinxun))) (property INIT (string "2")) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_1_gnh_u_muxcy "icon_pro/u_icon/u_stat/u_stat_cnt/g/1/gnh/u_muxcy") (viewRef view_1 (cellRef MUXCY_L (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_xorcy "icon_pro/u_icon/u_stat/u_stat_cnt/g/1/u_xorcy") (viewRef view_1 (cellRef XORCY (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_fdre "icon_pro/u_icon/u_stat/u_stat_cnt/g/1/u_fdre") (viewRef view_1 (cellRef FDRE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_lut "icon_pro/u_icon/u_stat/u_stat_cnt/g/0/u_lut") (viewRef view_1 (cellRef LUT1 (libraryRef xilinxun))) (property INIT (string "2")) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_0_gnh_u_muxcy "icon_pro/u_icon/u_stat/u_stat_cnt/g/0/gnh/u_muxcy") (viewRef view_1 (cellRef MUXCY_L (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_xorcy "icon_pro/u_icon/u_stat/u_stat_cnt/g/0/u_xorcy") (viewRef view_1 (cellRef XORCY (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_fdre "icon_pro/u_icon/u_stat/u_stat_cnt/g/0/u_fdre") (viewRef view_1 (cellRef FDRE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_f_stat_3_u_stat "icon_pro/u_icon/u_stat/f_stat/3/u_stat") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0000")) ) (instance (rename icon_pro_u_icon_u_stat_f_stat_2_u_stat "icon_pro/u_icon/u_stat/f_stat/2/u_stat") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0101")) ) (instance (rename icon_pro_u_icon_u_stat_f_stat_1_u_stat "icon_pro/u_icon/u_stat/f_stat/1/u_stat") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "8101")) ) (instance (rename icon_pro_u_icon_u_stat_f_stat_0_u_stat "icon_pro/u_icon/u_stat/f_stat/0/u_stat") (viewRef view_1 (cellRef LUT4 (libraryRef xilinxun))) (property INIT (string "0101")) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_high "icon_pro/u_icon/u_stat/u_stat_high") (viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_stat_low "icon_pro/u_icon/u_stat/u_stat_low") (viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_tdo_next "icon_pro/u_icon/u_stat/u_tdo_next") (viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_stat_u_tdo "icon_pro/u_icon/u_stat/u_tdo") (viewRef view_1 (cellRef FDE (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fj_0_u_lut3 "icon_pro/u_icon/u_tdo_mux/i4/fj/0/u_lut3") (viewRef view_1 (cellRef LUT3 (libraryRef xilinxun))) (property INIT (string "ca")) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fj_1_u_lut3 "icon_pro/u_icon/u_tdo_mux/i4/fj/1/u_lut3") (viewRef view_1 (cellRef LUT3 (libraryRef xilinxun))) (property INIT (string "ca")) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fj_2_u_lut3 "icon_pro/u_icon/u_tdo_mux/i4/fj/2/u_lut3") (viewRef view_1 (cellRef LUT3 (libraryRef xilinxun))) (property INIT (string "ca")) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fj_3_u_lut3 "icon_pro/u_icon/u_tdo_mux/i4/fj/3/u_lut3") (viewRef view_1 (cellRef LUT3 (libraryRef xilinxun))) (property INIT (string "ca")) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fj_4_u_lut3 "icon_pro/u_icon/u_tdo_mux/i4/fj/4/u_lut3") (viewRef view_1 (cellRef LUT3 (libraryRef xilinxun))) (property INIT (string "ca")) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fj_5_u_lut3 "icon_pro/u_icon/u_tdo_mux/i4/fj/5/u_lut3") (viewRef view_1 (cellRef LUT3 (libraryRef xilinxun))) (property INIT (string "ca")) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fj_6_u_lut3 "icon_pro/u_icon/u_tdo_mux/i4/fj/6/u_lut3") (viewRef view_1 (cellRef LUT3 (libraryRef xilinxun))) (property INIT (string "ca")) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fj_7_u_lut3 "icon_pro/u_icon/u_tdo_mux/i4/fj/7/u_lut3") (viewRef view_1 (cellRef LUT3 (libraryRef xilinxun))) (property INIT (string "ca")) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fk_0_u_muxf5 "icon_pro/u_icon/u_tdo_mux/i4/fk/0/u_muxf5") (viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fk_1_u_muxf5 "icon_pro/u_icon/u_tdo_mux/i4/fk/1/u_muxf5") (viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fk_2_u_muxf5 "icon_pro/u_icon/u_tdo_mux/i4/fk/2/u_muxf5") (viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fk_3_u_muxf5 "icon_pro/u_icon/u_tdo_mux/i4/fk/3/u_muxf5") (viewRef view_1 (cellRef MUXF5 (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fl_0_u_muxf6 "icon_pro/u_icon/u_tdo_mux/i4/fl/0/u_muxf6") (viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_fl_1_u_muxf6 "icon_pro/u_icon/u_tdo_mux/i4/fl/1/u_muxf6") (viewRef view_1 (cellRef MUXF6 (libraryRef xilinxun))) ) (instance (rename icon_pro_u_icon_u_tdo_mux_i4_i_pt1_u_muxf7 "icon_pro/u_icon/u_tdo_mux/i4/i_pt1/u_muxf7") (viewRef view_1 (cellRef MUXF7 (libraryRef xilinxun))) ) (net (rename N0 "Gnd") (joined (portRef G (instanceRef GND)) (portRef control0_2_) (portRef DI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_gnh_u_muxcy)) (portRef DI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_gnh_u_muxcy)) (portRef DI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_gnh_u_muxcy)) (portRef DI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_gnh_u_muxcy)) (portRef DI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_gnh_u_muxcy)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_0_u_lut3)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_1_u_lut3)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_1_u_lut3)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_2_u_lut3)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_2_u_lut3)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_3_u_lut3)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_3_u_lut3)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_4_u_lut3)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_4_u_lut3)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_5_u_lut3)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_5_u_lut3)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_6_u_lut3)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_6_u_lut3)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_7_u_lut3)) ) ) (net (rename N1 "Vcc") (joined (portRef P (instanceRef VCC)) (portRef CE (instanceRef icon_pro_u_icon_u_tdi_reg)) (portRef CE (instanceRef icon_pro_u_icon_u_tdo_reg)) (portRef D (instanceRef icon_pro_u_icon_u_sync_u_sync)) (portRef CE (instanceRef icon_pro_u_icon_u_stat_u_tdo)) (portRef CE (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_fdre)) (portRef CE (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_fdre)) (portRef CE (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_fdre)) (portRef CE (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_fdre)) (portRef CE (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_fdre)) (portRef CE (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_fdre)) (portRef CI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_gnh_u_muxcy)) (portRef CI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_xorcy)) ) ) (net (rename N5 "control0<35>") (joined (portRef control0_35_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_hce)) ) ) (net (rename N6 "control0<34>") (joined (portRef control0_34_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_hce)) ) ) (net (rename N7 "control0<33>") (joined (portRef control0_33_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_hce)) ) ) (net (rename N8 "control0<32>") (joined (portRef control0_32_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_hce)) ) ) (net (rename N9 "control0<31>") (joined (portRef control0_31_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_hce)) ) ) (net (rename N10 "control0<30>") (joined (portRef control0_30_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_hce)) ) ) (net (rename N11 "control0<29>") (joined (portRef control0_29_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_hce)) ) ) (net (rename N12 "control0<28>") (joined (portRef control0_28_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_hce)) ) ) (net (rename N13 "control0<27>") (joined (portRef control0_27_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_hce)) ) ) (net (rename N14 "control0<26>") (joined (portRef control0_26_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_hce)) ) ) (net (rename N15 "control0<25>") (joined (portRef control0_25_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_hce)) ) ) (net (rename N16 "control0<24>") (joined (portRef control0_24_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_hce)) ) ) (net (rename N17 "control0<23>") (joined (portRef control0_23_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_hce)) ) ) (net (rename N18 "control0<22>") (joined (portRef control0_22_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_hce)) ) ) (net (rename N19 "control0<21>") (joined (portRef control0_21_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_hce)) ) ) (net (rename N20 "control0<20>") (joined (portRef control0_20_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_hce)) ) ) (net (rename N21 "control0<19>") (joined (portRef control0_19_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_lce)) ) ) (net (rename N22 "control0<18>") (joined (portRef control0_18_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_lce)) ) ) (net (rename N23 "control0<17>") (joined (portRef control0_17_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_lce)) ) ) (net (rename N24 "control0<16>") (joined (portRef control0_16_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_lce)) ) ) (net (rename N25 "control0<15>") (joined (portRef control0_15_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_lce)) ) ) (net (rename N26 "control0<14>") (joined (portRef control0_14_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_lce)) ) ) (net (rename N27 "control0<13>") (joined (portRef control0_13_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_lce)) ) ) (net (rename N28 "control0<12>") (joined (portRef control0_12_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_lce)) ) ) (net (rename N29 "control0<11>") (joined (portRef control0_11_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_lce)) ) ) (net (rename N30 "control0<10>") (joined (portRef control0_10_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_lce)) ) ) (net (rename N31 "control0<9>") (joined (portRef control0_9_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_lce)) ) ) (net (rename N32 "control0<8>") (joined (portRef control0_8_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_lce)) ) ) (net (rename N33 "control0<7>") (joined (portRef control0_7_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_lce)) ) ) (net (rename N34 "control0<6>") (joined (portRef control0_6_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_lce)) ) ) (net (rename N35 "control0<5>") (joined (portRef control0_5_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_lce)) ) ) (net (rename N36 "control0<4>") (joined (portRef control0_4_) (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_lce)) ) ) (net (rename N38 "control0<1>") (joined (portRef control0_1_) (portRef Q (instanceRef icon_pro_u_icon_u_tdi_reg)) (portRef D (instanceRef icon_pro_u_icon_u_cmd_g_target_15_i_eq0_u_target)) (portRef D (instanceRef icon_pro_u_icon_u_sync_g_sync_word_6_i_eq0_u_fdr)) (portRef I3 (instanceRef icon_pro_u_icon_u_sync_u_got_sync_h)) ) ) (net (rename N39 "control0<0>") (joined (portRef control0_0_) (portRef C (instanceRef icon_pro_u_icon_u_tdi_reg)) (portRef C (instanceRef icon_pro_u_icon_u_tdo_reg)) (portRef DRCK1 (instanceRef icon_pro_u_icon_i_yes_bscan_u_bs_i_v2_u_bs)) (portRef C (instanceRef icon_pro_u_icon_u_cmd_g_target_15_i_eq0_u_target)) (portRef C (instanceRef icon_pro_u_icon_u_cmd_g_target_14_i_ne0_u_target)) (portRef C (instanceRef icon_pro_u_icon_u_cmd_g_target_13_i_ne0_u_target)) (portRef C (instanceRef icon_pro_u_icon_u_cmd_g_target_12_i_ne0_u_target)) (portRef C (instanceRef icon_pro_u_icon_u_cmd_g_target_11_i_ne0_u_target)) (portRef C (instanceRef icon_pro_u_icon_u_cmd_g_target_10_i_ne0_u_target)) (portRef C (instanceRef icon_pro_u_icon_u_cmd_g_target_9_i_ne0_u_target)) (portRef C (instanceRef icon_pro_u_icon_u_cmd_g_target_8_i_ne0_u_target)) (portRef C (instanceRef icon_pro_u_icon_u_cmd_g_target_7_i_ne0_u_target)) (portRef C (instanceRef icon_pro_u_icon_u_cmd_g_target_6_i_ne0_u_target)) (portRef C (instanceRef icon_pro_u_icon_u_sync_g_sync_word_6_i_eq0_u_fdr)) (portRef C (instanceRef icon_pro_u_icon_u_sync_g_sync_word_5_i_ne0_u_fdr)) (portRef C (instanceRef icon_pro_u_icon_u_sync_g_sync_word_4_i_ne0_u_fdr)) (portRef C (instanceRef icon_pro_u_icon_u_sync_g_sync_word_3_i_ne0_u_fdr)) (portRef C (instanceRef icon_pro_u_icon_u_sync_g_sync_word_2_i_ne0_u_fdr)) (portRef C (instanceRef icon_pro_u_icon_u_sync_g_sync_word_1_i_ne0_u_fdr)) (portRef C (instanceRef icon_pro_u_icon_u_sync_g_sync_word_0_i_ne0_u_fdr)) (portRef C (instanceRef icon_pro_u_icon_u_sync_u_sync)) (portRef C (instanceRef icon_pro_u_icon_u_stat_u_tdo)) (portRef C (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_fdre)) (portRef C (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_fdre)) (portRef C (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_fdre)) (portRef C (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_fdre)) (portRef C (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_fdre)) (portRef C (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_fdre)) ) ) (net (rename N48 "itdi_out") (joined (portRef D (instanceRef icon_pro_u_icon_u_tdi_reg)) (portRef TDI (instanceRef icon_pro_u_icon_i_yes_bscan_u_bs_i_v2_u_bs)) ) ) (net (rename N50 "ishift_out") (joined (portRef SHIFT (instanceRef icon_pro_u_icon_i_yes_bscan_u_bs_i_v2_u_bs)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_target_ce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_u_data_valid)) (portRef I1 (instanceRef icon_pro_u_icon_u_stat_u_data_valid)) ) ) (net (rename N51 "iupdate_out") (joined (portRef C (instanceRef icon_pro_u_icon_u_idata_cmd)) (portRef UPDATE (instanceRef icon_pro_u_icon_i_yes_bscan_u_bs_i_v2_u_bs)) ) ) (net (rename N160 "control0<3>") (joined (portRef control0_3_) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_0_u_lut3)) ) ) (net (rename N693 "u_icon/isel") (joined (portRef I (instanceRef icon_pro_u_icon_u_isel_n)) (portRef SEL1 (instanceRef icon_pro_u_icon_i_yes_bscan_u_bs_i_v2_u_bs)) (portRef I (instanceRef icon_pro_u_icon_u_cmd_u_sel_n)) ) ) (net (rename N695 "u_icon/isel_n") (joined (portRef O (instanceRef icon_pro_u_icon_u_isel_n)) (portRef CLR (instanceRef icon_pro_u_icon_u_idata_cmd)) ) ) (net (rename N696 "u_icon/itdo") (joined (portRef Q (instanceRef icon_pro_u_icon_u_tdo_reg)) (portRef TDO1 (instanceRef icon_pro_u_icon_i_yes_bscan_u_bs_i_v2_u_bs)) ) ) (net (rename N698 "u_icon/itdo_next") (joined (portRef D (instanceRef icon_pro_u_icon_u_tdo_reg)) (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_i_pt1_u_muxf7)) ) ) (net (rename N699 "u_icon/icore_id_0") (joined (portRef Q (instanceRef icon_pro_u_icon_u_cmd_g_target_15_i_eq0_u_target)) (portRef D (instanceRef icon_pro_u_icon_u_cmd_g_target_14_i_ne0_u_target)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_0_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_1_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_2_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_3_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_4_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_5_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_6_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_7_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_8_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_9_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_10_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_11_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_12_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_13_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_14_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_15_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_0_u_lut3)) (portRef I2 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_1_u_lut3)) (portRef I2 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_2_u_lut3)) (portRef I2 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_3_u_lut3)) (portRef I2 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_4_u_lut3)) (portRef I2 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_5_u_lut3)) (portRef I2 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_6_u_lut3)) (portRef I2 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_7_u_lut3)) ) ) (net (rename N700 "u_icon/icore_id_1") (joined (portRef Q (instanceRef icon_pro_u_icon_u_cmd_g_target_14_i_ne0_u_target)) (portRef D (instanceRef icon_pro_u_icon_u_cmd_g_target_13_i_ne0_u_target)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_0_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_1_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_2_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_3_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_4_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_5_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_6_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_7_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_8_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_9_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_10_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_11_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_12_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_13_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_14_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_15_u_lut)) (portRef S (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_0_u_muxf5)) (portRef S (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_1_u_muxf5)) (portRef S (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_2_u_muxf5)) (portRef S (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_3_u_muxf5)) ) ) (net (rename N701 "u_icon/icore_id_2") (joined (portRef Q (instanceRef icon_pro_u_icon_u_cmd_g_target_13_i_ne0_u_target)) (portRef D (instanceRef icon_pro_u_icon_u_cmd_g_target_12_i_ne0_u_target)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_0_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_1_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_2_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_3_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_4_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_5_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_6_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_7_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_8_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_9_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_10_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_11_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_12_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_13_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_14_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_15_u_lut)) (portRef S (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fl_0_u_muxf6)) (portRef S (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fl_1_u_muxf6)) ) ) (net (rename N702 "u_icon/icore_id_3") (joined (portRef Q (instanceRef icon_pro_u_icon_u_cmd_g_target_12_i_ne0_u_target)) (portRef D (instanceRef icon_pro_u_icon_u_cmd_g_target_11_i_ne0_u_target)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_0_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_1_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_2_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_3_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_4_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_5_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_6_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_7_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_8_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_9_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_10_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_11_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_12_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_13_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_14_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_15_u_lut)) (portRef S (instanceRef icon_pro_u_icon_u_tdo_mux_i4_i_pt1_u_muxf7)) ) ) (net (rename N703 "u_icon/icore_id_sel_0") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_15_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_stat_u_statcmd)) ) ) (net (rename N718 "u_icon/icore_id_sel_15") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_core_id_sel_i4_fi_0_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_hce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_lce)) (portRef I2 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_hce)) ) ) (net (rename N719 "u_icon/icommand_0") (joined (portRef Q (instanceRef icon_pro_u_icon_u_cmd_g_target_11_i_ne0_u_target)) (portRef D (instanceRef icon_pro_u_icon_u_cmd_g_target_10_i_ne0_u_target)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_0_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_1_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_2_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_3_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_4_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_5_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_6_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_7_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_8_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_9_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_10_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_11_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_12_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_13_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_14_u_lut)) (portRef I3 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_15_u_lut)) ) ) (net (rename N720 "u_icon/icommand_1") (joined (portRef Q (instanceRef icon_pro_u_icon_u_cmd_g_target_10_i_ne0_u_target)) (portRef D (instanceRef icon_pro_u_icon_u_cmd_g_target_9_i_ne0_u_target)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_0_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_1_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_2_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_3_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_4_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_5_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_6_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_7_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_8_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_9_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_10_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_11_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_12_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_13_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_14_u_lut)) (portRef I2 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_15_u_lut)) ) ) (net (rename N721 "u_icon/icommand_2") (joined (portRef Q (instanceRef icon_pro_u_icon_u_cmd_g_target_9_i_ne0_u_target)) (portRef D (instanceRef icon_pro_u_icon_u_cmd_g_target_8_i_ne0_u_target)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_0_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_1_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_2_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_3_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_4_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_5_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_6_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_7_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_8_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_9_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_10_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_11_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_12_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_13_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_14_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_15_u_lut)) ) ) (net (rename N722 "u_icon/icommand_3") (joined (portRef Q (instanceRef icon_pro_u_icon_u_cmd_g_target_8_i_ne0_u_target)) (portRef D (instanceRef icon_pro_u_icon_u_cmd_g_target_7_i_ne0_u_target)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_0_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_1_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_2_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_3_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_4_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_5_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_6_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_7_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_8_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_9_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_10_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_11_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_12_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_13_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_14_u_lut)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_15_u_lut)) ) ) (net (rename N723 "u_icon/icommand_sel_0") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_15_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_hce)) ) ) (net (rename N724 "u_icon/icommand_sel_1") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_14_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_hce)) ) ) (net (rename N725 "u_icon/icommand_sel_2") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_13_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_hce)) ) ) (net (rename N726 "u_icon/icommand_sel_3") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_12_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_hce)) ) ) (net (rename N727 "u_icon/icommand_sel_4") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_11_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_hce)) ) ) (net (rename N728 "u_icon/icommand_sel_5") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_10_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_hce)) ) ) (net (rename N729 "u_icon/icommand_sel_6") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_9_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_hce)) ) ) (net (rename N730 "u_icon/icommand_sel_7") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_8_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_hce)) ) ) (net (rename N731 "u_icon/icommand_sel_8") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_7_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_hce)) ) ) (net (rename N732 "u_icon/icommand_sel_9") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_6_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_hce)) ) ) (net (rename N733 "u_icon/icommand_sel_10") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_5_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_hce)) ) ) (net (rename N734 "u_icon/icommand_sel_11") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_4_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_hce)) ) ) (net (rename N735 "u_icon/icommand_sel_12") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_3_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_hce)) ) ) (net (rename N736 "u_icon/icommand_sel_13") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_2_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_hce)) ) ) (net (rename N737 "u_icon/icommand_sel_14") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_1_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_hce)) ) ) (net (rename N738 "u_icon/icommand_sel_15") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_command_sel_i4_fi_0_u_lut)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_lce)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_hce)) (portRef I1 (instanceRef icon_pro_u_icon_u_stat_u_statcmd)) ) ) (net (rename N739 "u_icon/itdo_vec_0") (joined (portRef Q (instanceRef icon_pro_u_icon_u_stat_u_tdo)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_7_u_lut3)) ) ) (net (rename N755 "u_icon/icommand_grp_0") (joined (portRef Q (instanceRef icon_pro_u_icon_u_cmd_g_target_7_i_ne0_u_target)) (portRef D (instanceRef icon_pro_u_icon_u_cmd_g_target_6_i_ne0_u_target)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_u_cmdgrp0)) (portRef I1 (instanceRef icon_pro_u_icon_u_ctrl_out_u_cmdgrp1)) (portRef I1 (instanceRef icon_pro_u_icon_u_stat_u_cmdgrp0)) ) ) (net (rename N756 "u_icon/icommand_grp_1") (joined (portRef Q (instanceRef icon_pro_u_icon_u_cmd_g_target_6_i_ne0_u_target)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_u_cmdgrp0)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_u_cmdgrp1)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_cmdgrp0)) ) ) (net (rename N757 "u_icon/idata_cmd") (joined (portRef Q (instanceRef icon_pro_u_icon_u_idata_cmd)) (portRef I (instanceRef icon_pro_u_icon_u_idata_cmd_n)) (portRef I0 (instanceRef icon_pro_u_icon_u_cmd_u_target_ce)) (portRef I (instanceRef icon_pro_u_icon_u_sync_u_idata_cmd_n)) ) ) (net (rename N758 "u_icon/idata_cmd_n") (joined (portRef D (instanceRef icon_pro_u_icon_u_idata_cmd)) (portRef O (instanceRef icon_pro_u_icon_u_idata_cmd_n)) ) ) (net (rename N759 "u_icon/isync") (joined (portRef Q (instanceRef icon_pro_u_icon_u_sync_u_sync)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_u_data_valid)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_data_valid)) ) ) (net (rename N958 "u_icon/u_cmd/isel_n") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_sel_n)) (portRef CLR (instanceRef icon_pro_u_icon_u_cmd_g_target_15_i_eq0_u_target)) (portRef CLR (instanceRef icon_pro_u_icon_u_cmd_g_target_14_i_ne0_u_target)) (portRef CLR (instanceRef icon_pro_u_icon_u_cmd_g_target_13_i_ne0_u_target)) (portRef CLR (instanceRef icon_pro_u_icon_u_cmd_g_target_12_i_ne0_u_target)) (portRef CLR (instanceRef icon_pro_u_icon_u_cmd_g_target_11_i_ne0_u_target)) (portRef CLR (instanceRef icon_pro_u_icon_u_cmd_g_target_10_i_ne0_u_target)) (portRef CLR (instanceRef icon_pro_u_icon_u_cmd_g_target_9_i_ne0_u_target)) (portRef CLR (instanceRef icon_pro_u_icon_u_cmd_g_target_8_i_ne0_u_target)) (portRef CLR (instanceRef icon_pro_u_icon_u_cmd_g_target_7_i_ne0_u_target)) (portRef CLR (instanceRef icon_pro_u_icon_u_cmd_g_target_6_i_ne0_u_target)) ) ) (net (rename N959 "u_icon/u_cmd/itarget_ce") (joined (portRef O (instanceRef icon_pro_u_icon_u_cmd_u_target_ce)) (portRef CE (instanceRef icon_pro_u_icon_u_cmd_g_target_15_i_eq0_u_target)) (portRef CE (instanceRef icon_pro_u_icon_u_cmd_g_target_14_i_ne0_u_target)) (portRef CE (instanceRef icon_pro_u_icon_u_cmd_g_target_13_i_ne0_u_target)) (portRef CE (instanceRef icon_pro_u_icon_u_cmd_g_target_12_i_ne0_u_target)) (portRef CE (instanceRef icon_pro_u_icon_u_cmd_g_target_11_i_ne0_u_target)) (portRef CE (instanceRef icon_pro_u_icon_u_cmd_g_target_10_i_ne0_u_target)) (portRef CE (instanceRef icon_pro_u_icon_u_cmd_g_target_9_i_ne0_u_target)) (portRef CE (instanceRef icon_pro_u_icon_u_cmd_g_target_8_i_ne0_u_target)) (portRef CE (instanceRef icon_pro_u_icon_u_cmd_g_target_7_i_ne0_u_target)) (portRef CE (instanceRef icon_pro_u_icon_u_cmd_g_target_6_i_ne0_u_target)) ) ) (net (rename N1256 "u_icon/u_sync/idata_cmd_n") (joined (portRef O (instanceRef icon_pro_u_icon_u_sync_u_idata_cmd_n)) (portRef R (instanceRef icon_pro_u_icon_u_sync_g_sync_word_6_i_eq0_u_fdr)) (portRef R (instanceRef icon_pro_u_icon_u_sync_g_sync_word_5_i_ne0_u_fdr)) (portRef R (instanceRef icon_pro_u_icon_u_sync_g_sync_word_4_i_ne0_u_fdr)) (portRef R (instanceRef icon_pro_u_icon_u_sync_g_sync_word_3_i_ne0_u_fdr)) (portRef R (instanceRef icon_pro_u_icon_u_sync_g_sync_word_2_i_ne0_u_fdr)) (portRef R (instanceRef icon_pro_u_icon_u_sync_g_sync_word_1_i_ne0_u_fdr)) (portRef R (instanceRef icon_pro_u_icon_u_sync_g_sync_word_0_i_ne0_u_fdr)) (portRef R (instanceRef icon_pro_u_icon_u_sync_u_sync)) ) ) (net (rename N1257 "u_icon/u_sync/isync_word_0") (joined (portRef Q (instanceRef icon_pro_u_icon_u_sync_g_sync_word_6_i_eq0_u_fdr)) (portRef D (instanceRef icon_pro_u_icon_u_sync_g_sync_word_5_i_ne0_u_fdr)) (portRef I2 (instanceRef icon_pro_u_icon_u_sync_u_got_sync_h)) ) ) (net (rename N1258 "u_icon/u_sync/isync_word_1") (joined (portRef Q (instanceRef icon_pro_u_icon_u_sync_g_sync_word_5_i_ne0_u_fdr)) (portRef D (instanceRef icon_pro_u_icon_u_sync_g_sync_word_4_i_ne0_u_fdr)) (portRef I1 (instanceRef icon_pro_u_icon_u_sync_u_got_sync_h)) ) ) (net (rename N1259 "u_icon/u_sync/isync_word_2") (joined (portRef Q (instanceRef icon_pro_u_icon_u_sync_g_sync_word_4_i_ne0_u_fdr)) (portRef D (instanceRef icon_pro_u_icon_u_sync_g_sync_word_3_i_ne0_u_fdr)) (portRef I0 (instanceRef icon_pro_u_icon_u_sync_u_got_sync_h)) ) ) (net (rename N1260 "u_icon/u_sync/isync_word_3") (joined (portRef Q (instanceRef icon_pro_u_icon_u_sync_g_sync_word_3_i_ne0_u_fdr)) (portRef D (instanceRef icon_pro_u_icon_u_sync_g_sync_word_2_i_ne0_u_fdr)) (portRef I3 (instanceRef icon_pro_u_icon_u_sync_u_got_sync_l)) ) ) (net (rename N1261 "u_icon/u_sync/isync_word_4") (joined (portRef Q (instanceRef icon_pro_u_icon_u_sync_g_sync_word_2_i_ne0_u_fdr)) (portRef D (instanceRef icon_pro_u_icon_u_sync_g_sync_word_1_i_ne0_u_fdr)) (portRef I2 (instanceRef icon_pro_u_icon_u_sync_u_got_sync_l)) ) ) (net (rename N1262 "u_icon/u_sync/isync_word_5") (joined (portRef Q (instanceRef icon_pro_u_icon_u_sync_g_sync_word_1_i_ne0_u_fdr)) (portRef D (instanceRef icon_pro_u_icon_u_sync_g_sync_word_0_i_ne0_u_fdr)) (portRef I1 (instanceRef icon_pro_u_icon_u_sync_u_got_sync_l)) ) ) (net (rename N1263 "u_icon/u_sync/isync_word_6") (joined (portRef Q (instanceRef icon_pro_u_icon_u_sync_g_sync_word_0_i_ne0_u_fdr)) (portRef I0 (instanceRef icon_pro_u_icon_u_sync_u_got_sync_l)) ) ) (net (rename N1264 "u_icon/u_sync/igot_sync_high") (joined (portRef O (instanceRef icon_pro_u_icon_u_sync_u_got_sync_h)) (portRef I1 (instanceRef icon_pro_u_icon_u_sync_u_got_sync)) ) ) (net (rename N1265 "u_icon/u_sync/igot_sync_low") (joined (portRef O (instanceRef icon_pro_u_icon_u_sync_u_got_sync_l)) (portRef I0 (instanceRef icon_pro_u_icon_u_sync_u_got_sync)) ) ) (net (rename N1266 "u_icon/u_sync/igot_sync") (joined (portRef O (instanceRef icon_pro_u_icon_u_sync_u_got_sync)) (portRef CE (instanceRef icon_pro_u_icon_u_sync_u_sync)) ) ) (net (rename N1293 "u_icon/u_ctrl_out/idata_valid") (joined (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_u_data_valid)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_hce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_lce)) (portRef I0 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_hce)) ) ) (net (rename N1294 "u_icon/u_ctrl_out/icommand_grp_sel_0") (joined (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_u_cmdgrp1)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_hce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_hce)) ) ) (net (rename N1295 "u_icon/u_ctrl_out/icommand_grp_sel_1") (joined (portRef O (instanceRef icon_pro_u_icon_u_ctrl_out_u_cmdgrp0)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_15_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_14_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_13_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_12_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_11_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_10_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_9_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_8_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_7_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_6_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_5_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_4_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_3_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_2_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_1_u_lce)) (portRef I3 (instanceRef icon_pro_u_icon_u_ctrl_out_f_ncp_0_f_cmd_0_u_lce)) ) ) (net (rename N1510 "u_icon/u_stat/icmd_grp0_sel") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_cmdgrp0)) (portRef I3 (instanceRef icon_pro_u_icon_u_stat_u_statcmd)) ) ) (net (rename N1511 "u_icon/u_stat/idata_valid") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_data_valid)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_statcmd)) ) ) (net (rename N1512 "u_icon/u_stat/istatcmd_ce") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_statcmd)) (portRef I (instanceRef icon_pro_u_icon_u_stat_u_statcmd_n)) ) ) (net (rename N1513 "u_icon/u_stat/istatcmd_ce_n") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_statcmd_n)) (portRef R (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_fdre)) (portRef R (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_fdre)) (portRef R (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_fdre)) (portRef R (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_fdre)) (portRef R (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_fdre)) (portRef R (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_fdre)) ) ) (net (rename N1514 "u_icon/u_stat/istat_cnt_0") (joined (portRef S (instanceRef icon_pro_u_icon_u_stat_u_tdo_next)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_lut)) (portRef Q (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_fdre)) ) ) (net (rename N1515 "u_icon/u_stat/istat_cnt_1") (joined (portRef S (instanceRef icon_pro_u_icon_u_stat_u_stat_high)) (portRef S (instanceRef icon_pro_u_icon_u_stat_u_stat_low)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_lut)) (portRef Q (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_fdre)) ) ) (net (rename N1516 "u_icon/u_stat/istat_cnt_2") (joined (portRef I3 (instanceRef icon_pro_u_icon_u_stat_f_stat_3_u_stat)) (portRef I3 (instanceRef icon_pro_u_icon_u_stat_f_stat_2_u_stat)) (portRef I3 (instanceRef icon_pro_u_icon_u_stat_f_stat_1_u_stat)) (portRef I3 (instanceRef icon_pro_u_icon_u_stat_f_stat_0_u_stat)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_lut)) (portRef Q (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_fdre)) ) ) (net (rename N1517 "u_icon/u_stat/istat_cnt_3") (joined (portRef I2 (instanceRef icon_pro_u_icon_u_stat_f_stat_3_u_stat)) (portRef I2 (instanceRef icon_pro_u_icon_u_stat_f_stat_2_u_stat)) (portRef I2 (instanceRef icon_pro_u_icon_u_stat_f_stat_1_u_stat)) (portRef I2 (instanceRef icon_pro_u_icon_u_stat_f_stat_0_u_stat)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_lut)) (portRef Q (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_fdre)) ) ) (net (rename N1518 "u_icon/u_stat/istat_cnt_4") (joined (portRef I1 (instanceRef icon_pro_u_icon_u_stat_f_stat_3_u_stat)) (portRef I1 (instanceRef icon_pro_u_icon_u_stat_f_stat_2_u_stat)) (portRef I1 (instanceRef icon_pro_u_icon_u_stat_f_stat_1_u_stat)) (portRef I1 (instanceRef icon_pro_u_icon_u_stat_f_stat_0_u_stat)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_lut)) (portRef Q (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_fdre)) ) ) (net (rename N1519 "u_icon/u_stat/istat_cnt_5") (joined (portRef I0 (instanceRef icon_pro_u_icon_u_stat_f_stat_3_u_stat)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_f_stat_2_u_stat)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_f_stat_1_u_stat)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_f_stat_0_u_stat)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_lut)) (portRef Q (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_fdre)) ) ) (net (rename N1520 "u_icon/u_stat/istat_0") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_f_stat_3_u_stat)) (portRef I1 (instanceRef icon_pro_u_icon_u_stat_u_stat_high)) ) ) (net (rename N1521 "u_icon/u_stat/istat_1") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_f_stat_2_u_stat)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_stat_high)) ) ) (net (rename N1522 "u_icon/u_stat/istat_2") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_f_stat_1_u_stat)) (portRef I1 (instanceRef icon_pro_u_icon_u_stat_u_stat_low)) ) ) (net (rename N1523 "u_icon/u_stat/istat_3") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_f_stat_0_u_stat)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_stat_low)) ) ) (net (rename N1524 "u_icon/u_stat/istat_low") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_low)) (portRef I0 (instanceRef icon_pro_u_icon_u_stat_u_tdo_next)) ) ) (net (rename N1525 "u_icon/u_stat/istat_high") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_high)) (portRef I1 (instanceRef icon_pro_u_icon_u_stat_u_tdo_next)) ) ) (net (rename N1526 "u_icon/u_stat/itdo_next") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_tdo_next)) (portRef D (instanceRef icon_pro_u_icon_u_stat_u_tdo)) ) ) (net (rename N1564 "u_icon/u_stat/u_stat_cnt/d_0") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_xorcy)) (portRef D (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_fdre)) ) ) (net (rename N1565 "u_icon/u_stat/u_stat_cnt/d_1") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_xorcy)) (portRef D (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_fdre)) ) ) (net (rename N1566 "u_icon/u_stat/u_stat_cnt/d_2") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_xorcy)) (portRef D (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_fdre)) ) ) (net (rename N1567 "u_icon/u_stat/u_stat_cnt/d_3") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_xorcy)) (portRef D (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_fdre)) ) ) (net (rename N1568 "u_icon/u_stat/u_stat_cnt/d_4") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_xorcy)) (portRef D (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_fdre)) ) ) (net (rename N1569 "u_icon/u_stat/u_stat_cnt/d_5") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_xorcy)) (portRef D (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_fdre)) ) ) (net (rename N1570 "u_icon/u_stat/u_stat_cnt/s_0") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_lut)) (portRef LI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_xorcy)) ) ) (net (rename N1571 "u_icon/u_stat/u_stat_cnt/s_1") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_lut)) (portRef S (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_gnh_u_muxcy)) (portRef LI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_xorcy)) ) ) (net (rename N1572 "u_icon/u_stat/u_stat_cnt/s_2") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_lut)) (portRef S (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_gnh_u_muxcy)) (portRef LI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_xorcy)) ) ) (net (rename N1573 "u_icon/u_stat/u_stat_cnt/s_3") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_lut)) (portRef S (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_gnh_u_muxcy)) (portRef LI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_xorcy)) ) ) (net (rename N1574 "u_icon/u_stat/u_stat_cnt/s_4") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_lut)) (portRef S (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_gnh_u_muxcy)) (portRef LI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_xorcy)) ) ) (net (rename N1575 "u_icon/u_stat/u_stat_cnt/s_5") (joined (portRef O (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_lut)) (portRef S (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_gnh_u_muxcy)) (portRef LI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_u_xorcy)) ) ) (net (rename N1576 "u_icon/u_stat/u_stat_cnt/ci_0") (joined (portRef CI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_5_u_xorcy)) (portRef LO (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_gnh_u_muxcy)) ) ) (net (rename N1577 "u_icon/u_stat/u_stat_cnt/ci_1") (joined (portRef CI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_gnh_u_muxcy)) (portRef CI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_4_u_xorcy)) (portRef LO (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_gnh_u_muxcy)) ) ) (net (rename N1578 "u_icon/u_stat/u_stat_cnt/ci_2") (joined (portRef CI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_gnh_u_muxcy)) (portRef CI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_3_u_xorcy)) (portRef LO (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_gnh_u_muxcy)) ) ) (net (rename N1579 "u_icon/u_stat/u_stat_cnt/ci_3") (joined (portRef CI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_gnh_u_muxcy)) (portRef CI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_2_u_xorcy)) (portRef LO (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_gnh_u_muxcy)) ) ) (net (rename N1580 "u_icon/u_stat/u_stat_cnt/ci_4") (joined (portRef CI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_gnh_u_muxcy)) (portRef CI (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_1_u_xorcy)) (portRef LO (instanceRef icon_pro_u_icon_u_stat_u_stat_cnt_g_0_gnh_u_muxcy)) ) ) (net (rename N1656 "u_icon/u_tdo_mux/i4/t1_0") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_7_u_lut3)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_3_u_muxf5)) ) ) (net (rename N1657 "u_icon/u_tdo_mux/i4/t1_1") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_6_u_lut3)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_2_u_muxf5)) ) ) (net (rename N1658 "u_icon/u_tdo_mux/i4/t1_2") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_5_u_lut3)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_1_u_muxf5)) ) ) (net (rename N1659 "u_icon/u_tdo_mux/i4/t1_3") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_4_u_lut3)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_0_u_muxf5)) ) ) (net (rename N1660 "u_icon/u_tdo_mux/i4/t1_4") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_3_u_lut3)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_3_u_muxf5)) ) ) (net (rename N1661 "u_icon/u_tdo_mux/i4/t1_5") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_2_u_lut3)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_2_u_muxf5)) ) ) (net (rename N1662 "u_icon/u_tdo_mux/i4/t1_6") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_1_u_lut3)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_1_u_muxf5)) ) ) (net (rename N1663 "u_icon/u_tdo_mux/i4/t1_7") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fj_0_u_lut3)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_0_u_muxf5)) ) ) (net (rename N1664 "u_icon/u_tdo_mux/i4/t2_0") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_3_u_muxf5)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fl_1_u_muxf6)) ) ) (net (rename N1665 "u_icon/u_tdo_mux/i4/t2_1") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_2_u_muxf5)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fl_0_u_muxf6)) ) ) (net (rename N1666 "u_icon/u_tdo_mux/i4/t2_2") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_1_u_muxf5)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fl_1_u_muxf6)) ) ) (net (rename N1667 "u_icon/u_tdo_mux/i4/t2_3") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fk_0_u_muxf5)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fl_0_u_muxf6)) ) ) (net (rename N1668 "u_icon/u_tdo_mux/i4/t3_0") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fl_1_u_muxf6)) (portRef I1 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_i_pt1_u_muxf7)) ) ) (net (rename N1669 "u_icon/u_tdo_mux/i4/t3_1") (joined (portRef O (instanceRef icon_pro_u_icon_u_tdo_mux_i4_fl_0_u_muxf6)) (portRef I0 (instanceRef icon_pro_u_icon_u_tdo_mux_i4_i_pt1_u_muxf7)) ) ) )))) (design icon_pro (cellRef icon_pro (libraryRef test_lib)) (property X_CORE_INFO (string "null")) (property PART (string "XC2VP20-6-ff896") (owner "Xilinx"))) )