____ ____ / /\/ / /___/ \ / VENDOR : Xilinx Inc. \ \ \/ VERSION : 8.1i (I.24) \ \ APPLICATION : compxlib / / CONTENTS : Compilation Log /___/ /\ FILENAME : compxlib.log \ \ / \ CREATED ON : Tue Oct 10 14:24:39 2006 \___\/\___\ XILINX = 'C:\Xilinx' Library Source => 'C:\Xilinx' Compilation Mode = FAST Scheduling library installation & compilation for VIRTEX-II Pro Signature:- ------------------------------------------------------------------------------ compxlib -s mti_se -arch virtex2p -lib unisim -lib simprim -lib xilinxcorelib -lib smartmodel -l vhdl -dir C:\DESIGNS\FPGA\SimLib -log compxlib.log -w -p c:/modeltech_ae/win32aloem ------------------------------------------------------------------------------ --> Installing Xilinx smartmodel library ..... > Environment variable LMC_HOME = 'c:\Xilinx\smartmodel\nt\installed_nt' > Extracting model names from 'C:\Xilinx\smartmodel\nt\image\sl_toc.dat' > Creating 'model.list' at current directory Library Image directory : 'C:\Xilinx\smartmodel\nt\image' Installation directory : 'c:\Xilinx\smartmodel\nt\installed_nt' Running installer...... Synopsys/Logic Modeling sl_admin Copyright (c) 1984-2000 Synopsys Inc. ALL RIGHTS RESERVED Version: 02042 Reading Library Reading Media Checking user selections Loading models.... Loading model: dcc_fpgacore_swift, version: 02402, platform: pcnt Loading model: emac_swift, version: 01022, platform: pcnt Loading model: glogic_adv_swift, version: 01004, platform: pcnt Loading model: glogic_swift, version: 04001, platform: pcnt Loading model: gt10_swift, version: 02221, platform: pcnt Loading model: gt11_swift, version: 01013, platform: pcnt Loading model: gt_swift, version: 01602, platform: pcnt Loading model: ppc405_adv_swift, version: 01009, platform: pcnt Loading model: ppc405_swift, version: 04003, platform: pcnt Updating Configuration files Writing: c:\Xilinx\smartmodel\nt\installed_nt/data/pcnt.lmc Updating Library Versioned links Updating Documentation files Updating Library cache Install complete Compiling Xilinx HDL Libraries for ModelSim SE Simulator Language => vhdl Backing up setup files if any... Output directory => 'C:\DESIGNS\FPGA\SimLib' --> Compiling vhdl unisim library > Unisim compiled to C:\DESIGNS\FPGA\SimLib\unisim ============================================================================== "START_COMPILE" Copying c:\modeltech_ae\win32aloem/../modelsim.ini to modelsim.ini Modifying modelsim.ini Model Technology ModelSim ALTERA vcom 6.1d Compiler 2006.01 Jan 23 2006 -- Loading package standard -- Loading package std_logic_1164 -- Compiling package vcomponents Model Technology ModelSim ALTERA vcom 6.1d Compiler 2006.01 Jan 23 2006 -- Loading package standard -- Loading package textio -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Compiling package vpkg -- Compiling package body vpkg -- Loading package vpkg Model Technology ModelSim ALTERA vcom 6.1d Compiler 2006.01 Jan 23 2006 -- Loading package standard -- Loading package std_logic_1164 -- Compiling entity and2 -- Compiling architecture and2_v of and2 -- Compiling entity and2b1 -- Compiling architecture and2b1_v of and2b1 -- Compiling entity and2b2 -- Compiling architecture and2b2_v of and2b2 -- Compiling entity and3 -- Compiling architecture and3_v of and3 -- Compiling entity and3b1 -- Compiling architecture and3b1_v of and3b1 -- Compiling entity and3b2 -- Compiling architecture and3b2_v of and3b2 -- Compiling entity and3b3 -- Compiling architecture and3b3_v of and3b3 -- Compiling entity and4 -- Compiling architecture and4_v of and4 -- Compiling entity and4b1 -- Compiling architecture and4b1_v of and4b1 -- Compiling entity and4b2 -- Compiling architecture and4b2_v of and4b2 -- Compiling entity and4b3 -- Compiling architecture and4b3_v of and4b3 -- Compiling entity and4b4 -- Compiling architecture and4b4_v of and4b4 -- Compiling entity and5 -- Compiling architecture and5_v of and5 -- Compiling entity and5b1 -- Compiling architecture and5b1_v of and5b1 -- Compiling entity and5b2 -- Compiling architecture and5b2_v of and5b2 -- Compiling entity and5b3 -- Compiling architecture and5b3_v of and5b3 -- Compiling entity and5b4 -- Compiling architecture and5b4_v of and5b4 -- Compiling entity and5b5 -- Compiling architecture and5b5_v of and5b5 -- Compiling entity bscan_fpgacore -- Compiling architecture bscan_fpgacore_v of bscan_fpgacore -- Compiling entity bscan_spartan2 -- Compiling architecture bscan_spartan2_v of bscan_spartan2 -- Compiling entity bscan_spartan3 -- Compiling architecture bscan_spartan3_v of bscan_spartan3 -- Compiling entity bscan_virtex -- Compiling architecture bscan_virtex_v of bscan_virtex -- Compiling entity bscan_virtex2 -- Compiling architecture bscan_virtex2_v of bscan_virtex2 -- Compiling entity buf -- Compiling architecture buf_v of buf -- Compiling entity bufcf -- Compiling architecture bufcf_v of bufcf -- Compiling entity bufe -- Compiling architecture bufe_v of bufe -- Compiling entity bufg -- Compiling architecture bufg_v of bufg -- Loading package vcomponents -- Compiling entity bufgce -- Compiling architecture bufgce_v of bufgce -- Compiling entity bufgce_1 -- Compiling architecture bufgce_1_v of bufgce_1 -- Compiling entity bufgdll -- Compiling architecture bufgdll_v of bufgdll -- Loading package vital_timing -- Loading package vital_primitives -- Compiling entity bufgmux -- Compiling architecture bufgmux_v of bufgmux -- Compiling entity bufgmux_1 -- Compiling architecture bufgmux_1_v of bufgmux_1 -- Compiling entity bufgp -- Compiling architecture bufgp_v of bufgp -- Compiling entity buft -- Compiling architecture buft_v of buft -- Compiling entity capture_fpgacore -- Compiling architecture capture_fpgacore_v of capture_fpgacore -- Compiling entity capture_spartan2 -- Compiling architecture capture_spartan2_v of capture_spartan2 -- Compiling entity capture_spartan3 -- Compiling architecture capture_spartan3_v of capture_spartan3 -- Compiling entity capture_virtex -- Compiling architecture capture_virtex_v of capture_virtex -- Compiling entity capture_virtex2 -- Compiling architecture capture_virtex2_v of capture_virtex2 -- Loading package textio -- Compiling entity clkdll_maximum_period_check -- Compiling architecture clkdll_maximum_period_check_v of clkdll_maximum_period_check -- Loading package vpkg -- Compiling entity clkdll -- Compiling architecture clkdll_v of clkdll -- Compiling entity clkdlle_maximum_period_check -- Compiling architecture clkdlle_maximum_period_check_v of clkdlle_maximum_period_check -- Compiling entity clkdlle -- Compiling architecture clkdlle_v of clkdlle -- Compiling entity clkdllhf_maximum_period_check -- Compiling architecture clkdllhf_maximum_period_check_v of clkdllhf_maximum_period_check -- Compiling entity clkdllhf -- Compiling architecture clkdllhf_v of clkdllhf -- Compiling entity config -- Compiling architecture config_v of config -- Compiling entity dcm_clock_divide_by_2 -- Compiling architecture dcm_clock_divide_by_2_v of dcm_clock_divide_by_2 -- Compiling entity dcm_maximum_period_check -- Compiling architecture dcm_maximum_period_check_v of dcm_maximum_period_check -- Compiling entity dcm_clock_lost -- Compiling architecture dcm_clock_lost_v of dcm_clock_lost -- Compiling entity dcm -- Compiling architecture new_dcm_v of dcm -- Compiling architecture dcm_v of dcm -- Loading entity dcm -- Compiling entity fd -- Compiling architecture fd_v of fd -- Compiling entity fd_1 -- Compiling architecture fd_1_v of fd_1 -- Compiling entity fdc -- Compiling architecture fdc_v of fdc -- Compiling entity fdc_1 -- Compiling architecture fdc_1_v of fdc_1 -- Compiling entity fdce -- Compiling architecture fdce_v of fdce -- Compiling entity fdce_1 -- Compiling architecture fdce_1_v of fdce_1 -- Compiling entity fdcp -- Compiling architecture fdcp_v of fdcp -- Compiling entity fdcp_1 -- Compiling architecture fdcp_1_v of fdcp_1 -- Compiling entity fdcpe -- Compiling architecture fdcpe_v of fdcpe -- Compiling entity fdcpe_1 -- Compiling architecture fdcpe_1_v of fdcpe_1 -- Compiling entity fddrcpe -- Compiling architecture fddrcpe_v of fddrcpe -- Compiling entity fddrrse -- Compiling architecture fddrrse_v of fddrrse -- Compiling entity fde -- Compiling architecture fde_v of fde -- Compiling entity fde_1 -- Compiling architecture fde_1_v of fde_1 -- Compiling entity fdp -- Compiling architecture fdp_v of fdp -- Compiling entity fdp_1 -- Compiling architecture fdp_1_v of fdp_1 -- Compiling entity fdpe -- Compiling architecture fdpe_v of fdpe -- Compiling entity fdpe_1 -- Compiling architecture fdpe_1_v of fdpe_1 -- Compiling entity fdr -- Compiling architecture fdr_v of fdr -- Compiling entity fdr_1 -- Compiling architecture fdr_1_v of fdr_1 -- Compiling entity fdre -- Compiling architecture fdre_v of fdre -- Compiling entity fdre_1 -- Compiling architecture fdre_1_v of fdre_1 -- Compiling entity fdrs -- Compiling architecture fdrs_v of fdrs -- Compiling entity fdrs_1 -- Compiling architecture fdrs_1_v of fdrs_1 -- Compiling entity fdrse -- Compiling architecture fdrse_v of fdrse -- Compiling entity fdrse_1 -- Compiling architecture fdrse_1_v of fdrse_1 -- Compiling entity fds -- Compiling architecture fds_v of fds -- Compiling entity fds_1 -- Compiling architecture fds_1_v of fds_1 -- Compiling entity fdse -- Compiling architecture fdse_v of fdse -- Compiling entity fdse_1 -- Compiling architecture fdse_1_v of fdse_1 -- Compiling entity fmap -- Compiling architecture fmap_v of fmap -- Compiling entity gnd -- Compiling architecture gnd_v of gnd -- Compiling entity ibuf -- Compiling architecture ibuf_v of ibuf -- Compiling entity ibuf_agp -- Compiling architecture ibuf_agp_v of ibuf_agp -- Compiling entity ibuf_ctt -- Compiling architecture ibuf_ctt_v of ibuf_ctt -- Compiling entity ibuf_gtl -- Compiling architecture ibuf_gtl_v of ibuf_gtl -- Compiling entity ibuf_gtl_dci -- Compiling architecture ibuf_gtl_dci_v of ibuf_gtl_dci -- Compiling entity ibuf_gtlp -- Compiling architecture ibuf_gtlp_v of ibuf_gtlp -- Compiling entity ibuf_gtlp_dci -- Compiling architecture ibuf_gtlp_dci_v of ibuf_gtlp_dci -- Compiling entity ibuf_hstl_i -- Compiling architecture ibuf_hstl_i_v of ibuf_hstl_i -- Compiling entity ibuf_hstl_i_18 -- Compiling architecture ibuf_hstl_i_18_v of ibuf_hstl_i_18 -- Compiling entity ibuf_hstl_i_dci -- Compiling architecture ibuf_hstl_i_dci_v of ibuf_hstl_i_dci -- Compiling entity ibuf_hstl_i_dci_18 -- Compiling architecture ibuf_hstl_i_dci_18_v of ibuf_hstl_i_dci_18 -- Compiling entity ibuf_hstl_ii -- Compiling architecture ibuf_hstl_ii_v of ibuf_hstl_ii -- Compiling entity ibuf_hstl_ii_18 -- Compiling architecture ibuf_hstl_ii_18_v of ibuf_hstl_ii_18 -- Compiling entity ibuf_hstl_ii_dci -- Compiling architecture ibuf_hstl_ii_dci_v of ibuf_hstl_ii_dci -- Compiling entity ibuf_hstl_ii_dci_18 -- Compiling architecture ibuf_hstl_ii_dci_18_v of ibuf_hstl_ii_dci_18 -- Compiling entity ibuf_hstl_iii -- Compiling architecture ibuf_hstl_iii_v of ibuf_hstl_iii -- Compiling entity ibuf_hstl_iii_18 -- Compiling architecture ibuf_hstl_iii_18_v of ibuf_hstl_iii_18 -- Compiling entity ibuf_hstl_iii_dci -- Compiling architecture ibuf_hstl_iii_dci_v of ibuf_hstl_iii_dci -- Compiling entity ibuf_hstl_iii_dci_18 -- Compiling architecture ibuf_hstl_iii_dci_18_v of ibuf_hstl_iii_dci_18 -- Compiling entity ibuf_hstl_iv -- Compiling architecture ibuf_hstl_iv_v of ibuf_hstl_iv -- Compiling entity ibuf_hstl_iv_18 -- Compiling architecture ibuf_hstl_iv_18_v of ibuf_hstl_iv_18 -- Compiling entity ibuf_hstl_iv_dci -- Compiling architecture ibuf_hstl_iv_dci_v of ibuf_hstl_iv_dci -- Compiling entity ibuf_hstl_iv_dci_18 -- Compiling architecture ibuf_hstl_iv_dci_18_v of ibuf_hstl_iv_dci_18 -- Compiling entity ibuf_lvcmos12 -- Compiling architecture ibuf_lvcmos12_v of ibuf_lvcmos12 -- Compiling entity ibuf_lvcmos15 -- Compiling architecture ibuf_lvcmos15_v of ibuf_lvcmos15 -- Compiling entity ibuf_lvcmos18 -- Compiling architecture ibuf_lvcmos18_v of ibuf_lvcmos18 -- Compiling entity ibuf_lvcmos2 -- Compiling architecture ibuf_lvcmos2_v of ibuf_lvcmos2 -- Compiling entity ibuf_lvcmos25 -- Compiling architecture ibuf_lvcmos25_v of ibuf_lvcmos25 -- Compiling entity ibuf_lvcmos33 -- Compiling architecture ibuf_lvcmos33_v of ibuf_lvcmos33 -- Compiling entity ibuf_lvdci_15 -- Compiling architecture ibuf_lvdci_15_v of ibuf_lvdci_15 -- Compiling entity ibuf_lvdci_18 -- Compiling architecture ibuf_lvdci_18_v of ibuf_lvdci_18 -- Compiling entity ibuf_lvdci_25 -- Compiling architecture ibuf_lvdci_25_v of ibuf_lvdci_25 -- Compiling entity ibuf_lvdci_33 -- Compiling architecture ibuf_lvdci_33_v of ibuf_lvdci_33 -- Compiling entity ibuf_lvdci_dv2_15 -- Compiling architecture ibuf_lvdci_dv2_15_v of ibuf_lvdci_dv2_15 -- Compiling entity ibuf_lvdci_dv2_18 -- Compiling architecture ibuf_lvdci_dv2_18_v of ibuf_lvdci_dv2_18 -- Compiling entity ibuf_lvdci_dv2_25 -- Compiling architecture ibuf_lvdci_dv2_25_v of ibuf_lvdci_dv2_25 -- Compiling entity ibuf_lvdci_dv2_33 -- Compiling architecture ibuf_lvdci_dv2_33_v of ibuf_lvdci_dv2_33 -- Compiling entity ibuf_lvds -- Compiling architecture ibuf_lvds_v of ibuf_lvds -- Compiling entity ibuf_lvpecl -- Compiling architecture ibuf_lvpecl_v of ibuf_lvpecl -- Compiling entity ibuf_lvttl -- Compiling architecture ibuf_lvttl_v of ibuf_lvttl -- Compiling entity ibuf_pci33_3 -- Compiling architecture ibuf_pci33_3_v of ibuf_pci33_3 -- Compiling entity ibuf_pci33_5 -- Compiling architecture ibuf_pci33_5_v of ibuf_pci33_5 -- Compiling entity ibuf_pci66_3 -- Compiling architecture ibuf_pci66_3_v of ibuf_pci66_3 -- Compiling entity ibuf_pcix -- Compiling architecture ibuf_pcix_v of ibuf_pcix -- Compiling entity ibuf_pcix66_3 -- Compiling architecture ibuf_pcix66_3_v of ibuf_pcix66_3 -- Compiling entity ibuf_sstl18_i -- Compiling architecture ibuf_sstl18_i_v of ibuf_sstl18_i -- Compiling entity ibuf_sstl18_i_dci -- Compiling architecture ibuf_sstl18_i_dci_v of ibuf_sstl18_i_dci -- Compiling entity ibuf_sstl18_ii -- Compiling architecture ibuf_sstl18_ii_v of ibuf_sstl18_ii -- Compiling entity ibuf_sstl18_ii_dci -- Compiling architecture ibuf_sstl18_ii_dci_v of ibuf_sstl18_ii_dci -- Compiling entity ibuf_sstl2_i -- Compiling architecture ibuf_sstl2_i_v of ibuf_sstl2_i -- Compiling entity ibuf_sstl2_i_dci -- Compiling architecture ibuf_sstl2_i_dci_v of ibuf_sstl2_i_dci -- Compiling entity ibuf_sstl2_ii -- Compiling architecture ibuf_sstl2_ii_v of ibuf_sstl2_ii -- Compiling entity ibuf_sstl2_ii_dci -- Compiling architecture ibuf_sstl2_ii_dci_v of ibuf_sstl2_ii_dci -- Compiling entity ibuf_sstl3_i -- Compiling architecture ibuf_sstl3_i_v of ibuf_sstl3_i -- Compiling entity ibuf_sstl3_i_dci -- Compiling architecture ibuf_sstl3_i_dci_v of ibuf_sstl3_i_dci -- Compiling entity ibuf_sstl3_ii -- Compiling architecture ibuf_sstl3_ii_v of ibuf_sstl3_ii -- Compiling entity ibuf_sstl3_ii_dci -- Compiling architecture ibuf_sstl3_ii_dci_v of ibuf_sstl3_ii_dci -- Compiling entity ibufds -- Compiling architecture ibufds_v of ibufds -- Compiling entity ibufds_blvds_25 -- Compiling architecture ibufds_blvds_25_v of ibufds_blvds_25 -- Compiling entity ibufds_diff_out -- Compiling architecture ibufds_diff_out_v of ibufds_diff_out -- Compiling entity ibufds_ldt_25 -- Compiling architecture ibufds_ldt_25_v of ibufds_ldt_25 -- Compiling entity ibufds_lvds_25 -- Compiling architecture ibufds_lvds_25_v of ibufds_lvds_25 -- Compiling entity ibufds_lvds_25_dci -- Compiling architecture ibufds_lvds_25_dci_v of ibufds_lvds_25_dci -- Compiling entity ibufds_lvds_33 -- Compiling architecture ibufds_lvds_33_v of ibufds_lvds_33 -- Compiling entity ibufds_lvds_33_dci -- Compiling architecture ibufds_lvds_33_dci_v of ibufds_lvds_33_dci -- Compiling entity ibufds_lvdsext_25 -- Compiling architecture ibufds_lvdsext_25_v of ibufds_lvdsext_25 -- Compiling entity ibufds_lvdsext_25_dci -- Compiling architecture ibufds_lvdsext_25_dci_v of ibufds_lvdsext_25_dci -- Compiling entity ibufds_lvdsext_33 -- Compiling architecture ibufds_lvdsext_33_v of ibufds_lvdsext_33 -- Compiling entity ibufds_lvdsext_33_dci -- Compiling architecture ibufds_lvdsext_33_dci_v of ibufds_lvdsext_33_dci -- Compiling entity ibufds_lvpecl_25 -- Compiling architecture ibufds_lvpecl_25_v of ibufds_lvpecl_25 -- Compiling entity ibufds_lvpecl_33 -- Compiling architecture ibufds_lvpecl_33_v of ibufds_lvpecl_33 -- Compiling entity ibufds_ulvds_25 -- Compiling architecture ibufds_ulvds_25_v of ibufds_ulvds_25 -- Compiling entity ibufg -- Compiling architecture ibufg_v of ibufg -- Compiling entity ibufg_agp -- Compiling architecture ibufg_agp_v of ibufg_agp -- Compiling entity ibufg_ctt -- Compiling architecture ibufg_ctt_v of ibufg_ctt -- Compiling entity ibufg_gtl -- Compiling architecture ibufg_gtl_v of ibufg_gtl -- Compiling entity ibufg_gtl_dci -- Compiling architecture ibufg_gtl_dci_v of ibufg_gtl_dci -- Compiling entity ibufg_gtlp -- Compiling architecture ibufg_gtlp_v of ibufg_gtlp -- Compiling entity ibufg_gtlp_dci -- Compiling architecture ibufg_gtlp_dci_v of ibufg_gtlp_dci -- Compiling entity ibufg_hstl_i -- Compiling architecture ibufg_hstl_i_v of ibufg_hstl_i -- Compiling entity ibufg_hstl_i_18 -- Compiling architecture ibufg_hstl_i_18_v of ibufg_hstl_i_18 -- Compiling entity ibufg_hstl_i_dci -- Compiling architecture ibufg_hstl_i_dci_v of ibufg_hstl_i_dci -- Compiling entity ibufg_hstl_i_dci_18 -- Compiling architecture ibufg_hstl_i_dci_18_v of ibufg_hstl_i_dci_18 -- Compiling entity ibufg_hstl_ii -- Compiling architecture ibufg_hstl_ii_v of ibufg_hstl_ii -- Compiling entity ibufg_hstl_ii_18 -- Compiling architecture ibufg_hstl_ii_18_v of ibufg_hstl_ii_18 -- Compiling entity ibufg_hstl_ii_dci -- Compiling architecture ibufg_hstl_ii_dci_v of ibufg_hstl_ii_dci -- Compiling entity ibufg_hstl_ii_dci_18 -- Compiling architecture ibufg_hstl_ii_dci_18_v of ibufg_hstl_ii_dci_18 -- Compiling entity ibufg_hstl_iii -- Compiling architecture ibufg_hstl_iii_v of ibufg_hstl_iii -- Compiling entity ibufg_hstl_iii_18 -- Compiling architecture ibufg_hstl_iii_18_v of ibufg_hstl_iii_18 -- Compiling entity ibufg_hstl_iii_dci -- Compiling architecture ibufg_hstl_iii_dci_v of ibufg_hstl_iii_dci -- Compiling entity ibufg_hstl_iii_dci_18 -- Compiling architecture ibufg_hstl_iii_dci_18_v of ibufg_hstl_iii_dci_18 -- Compiling entity ibufg_hstl_iv -- Compiling architecture ibufg_hstl_iv_v of ibufg_hstl_iv -- Compiling entity ibufg_hstl_iv_18 -- Compiling architecture ibufg_hstl_iv_18_v of ibufg_hstl_iv_18 -- Compiling entity ibufg_hstl_iv_dci -- Compiling architecture ibufg_hstl_iv_dci_v of ibufg_hstl_iv_dci -- Compiling entity ibufg_hstl_iv_dci_18 -- Compiling architecture ibufg_hstl_iv_dci_18_v of ibufg_hstl_iv_dci_18 -- Compiling entity ibufg_lvcmos12 -- Compiling architecture ibufg_lvcmos12_v of ibufg_lvcmos12 -- Compiling entity ibufg_lvcmos15 -- Compiling architecture ibufg_lvcmos15_v of ibufg_lvcmos15 -- Compiling entity ibufg_lvcmos18 -- Compiling architecture ibufg_lvcmos18_v of ibufg_lvcmos18 -- Compiling entity ibufg_lvcmos2 -- Compiling architecture ibufg_lvcmos2_v of ibufg_lvcmos2 -- Compiling entity ibufg_lvcmos25 -- Compiling architecture ibufg_lvcmos25_v of ibufg_lvcmos25 -- Compiling entity ibufg_lvcmos33 -- Compiling architecture ibufg_lvcmos33_v of ibufg_lvcmos33 -- Compiling entity ibufg_lvdci_15 -- Compiling architecture ibufg_lvdci_15_v of ibufg_lvdci_15 -- Compiling entity ibufg_lvdci_18 -- Compiling architecture ibufg_lvdci_18_v of ibufg_lvdci_18 -- Compiling entity ibufg_lvdci_25 -- Compiling architecture ibufg_lvdci_25_v of ibufg_lvdci_25 -- Compiling entity ibufg_lvdci_33 -- Compiling architecture ibufg_lvdci_33_v of ibufg_lvdci_33 -- Compiling entity ibufg_lvdci_dv2_15 -- Compiling architecture ibufg_lvdci_dv2_15_v of ibufg_lvdci_dv2_15 -- Compiling entity ibufg_lvdci_dv2_18 -- Compiling architecture ibufg_lvdci_dv2_18_v of ibufg_lvdci_dv2_18 -- Compiling entity ibufg_lvdci_dv2_25 -- Compiling architecture ibufg_lvdci_dv2_25_v of ibufg_lvdci_dv2_25 -- Compiling entity ibufg_lvdci_dv2_33 -- Compiling architecture ibufg_lvdci_dv2_33_v of ibufg_lvdci_dv2_33 -- Compiling entity ibufg_lvds -- Compiling architecture ibufg_lvds_v of ibufg_lvds -- Compiling entity ibufg_lvpecl -- Compiling architecture ibufg_lvpecl_v of ibufg_lvpecl -- Compiling entity ibufg_lvttl -- Compiling architecture ibufg_lvttl_v of ibufg_lvttl -- Compiling entity ibufg_pci33_3 -- Compiling architecture ibufg_pci33_3_v of ibufg_pci33_3 -- Compiling entity ibufg_pci33_5 -- Compiling architecture ibufg_pci33_5_v of ibufg_pci33_5 -- Compiling entity ibufg_pci66_3 -- Compiling architecture ibufg_pci66_3_v of ibufg_pci66_3 -- Compiling entity ibufg_pcix -- Compiling architecture ibufg_pcix_v of ibufg_pcix -- Compiling entity ibufg_pcix66_3 -- Compiling architecture ibufg_pcix66_3_v of ibufg_pcix66_3 -- Compiling entity ibufg_sstl18_i -- Compiling architecture ibufg_sstl18_i_v of ibufg_sstl18_i -- Compiling entity ibufg_sstl18_i_dci -- Compiling architecture ibufg_sstl18_i_dci_v of ibufg_sstl18_i_dci -- Compiling entity ibufg_sstl18_ii -- Compiling architecture ibufg_sstl18_ii_v of ibufg_sstl18_ii -- Compiling entity ibufg_sstl18_ii_dci -- Compiling architecture ibufg_sstl18_ii_dci_v of ibufg_sstl18_ii_dci -- Compiling entity ibufg_sstl2_i -- Compiling architecture ibufg_sstl2_i_v of ibufg_sstl2_i -- Compiling entity ibufg_sstl2_i_dci -- Compiling architecture ibufg_sstl2_i_dci_v of ibufg_sstl2_i_dci -- Compiling entity ibufg_sstl2_ii -- Compiling architecture ibufg_sstl2_ii_v of ibufg_sstl2_ii -- Compiling entity ibufg_sstl2_ii_dci -- Compiling architecture ibufg_sstl2_ii_dci_v of ibufg_sstl2_ii_dci -- Compiling entity ibufg_sstl3_i -- Compiling architecture ibufg_sstl3_i_v of ibufg_sstl3_i -- Compiling entity ibufg_sstl3_i_dci -- Compiling architecture ibufg_sstl3_i_dci_v of ibufg_sstl3_i_dci -- Compiling entity ibufg_sstl3_ii -- Compiling architecture ibufg_sstl3_ii_v of ibufg_sstl3_ii -- Compiling entity ibufg_sstl3_ii_dci -- Compiling architecture ibufg_sstl3_ii_dci_v of ibufg_sstl3_ii_dci -- Compiling entity ibufgds -- Compiling architecture ibufgds_v of ibufgds -- Compiling entity ibufgds_blvds_25 -- Compiling architecture ibufgds_blvds_25_v of ibufgds_blvds_25 -- Compiling entity ibufgds_diff_out -- Compiling architecture ibufgds_diff_out_v of ibufgds_diff_out -- Compiling entity ibufgds_ldt_25 -- Compiling architecture ibufgds_ldt_25_v of ibufgds_ldt_25 -- Compiling entity ibufgds_lvds_25 -- Compiling architecture ibufgds_lvds_25_v of ibufgds_lvds_25 -- Compiling entity ibufgds_lvds_25_dci -- Compiling architecture ibufgds_lvds_25_dci_v of ibufgds_lvds_25_dci -- Compiling entity ibufgds_lvds_33 -- Compiling architecture ibufgds_lvds_33_v of ibufgds_lvds_33 -- Compiling entity ibufgds_lvds_33_dci -- Compiling architecture ibufgds_lvds_33_dci_v of ibufgds_lvds_33_dci -- Compiling entity ibufgds_lvdsext_25 -- Compiling architecture ibufgds_lvdsext_25_v of ibufgds_lvdsext_25 -- Compiling entity ibufgds_lvdsext_25_dci -- Compiling architecture ibufgds_lvdsext_25_dci_v of ibufgds_lvdsext_25_dci -- Compiling entity ibufgds_lvdsext_33 -- Compiling architecture ibufgds_lvdsext_33_v of ibufgds_lvdsext_33 -- Compiling entity ibufgds_lvdsext_33_dci -- Compiling architecture ibufgds_lvdsext_33_dci_v of ibufgds_lvdsext_33_dci -- Compiling entity ibufgds_lvpecl_25 -- Compiling architecture ibufgds_lvpecl_25_v of ibufgds_lvpecl_25 -- Compiling entity ibufgds_lvpecl_33 -- Compiling architecture ibufgds_lvpecl_33_v of ibufgds_lvpecl_33 -- Compiling entity ibufgds_ulvds_25 -- Compiling architecture ibufgds_ulvds_25_v of ibufgds_ulvds_25 -- Compiling entity icap_virtex2 -- Compiling architecture icap_virtex2_v of icap_virtex2 -- Compiling entity ifddrcpe -- Compiling architecture ifddrcpe_v of ifddrcpe -- Compiling entity ifddrrse -- Compiling architecture ifddrrse_v of ifddrrse -- Compiling entity inv -- Compiling architecture inv_v of inv -- Compiling entity iobuf -- Compiling architecture iobuf_v of iobuf -- Compiling entity iobuf_agp -- Compiling architecture iobuf_agp_v of iobuf_agp -- Compiling entity iobuf_ctt -- Compiling architecture iobuf_ctt_v of iobuf_ctt -- Compiling entity iobuf_f_12 -- Compiling architecture iobuf_f_12_v of iobuf_f_12 -- Compiling entity iobuf_f_16 -- Compiling architecture iobuf_f_16_v of iobuf_f_16 -- Compiling entity iobuf_f_2 -- Compiling architecture iobuf_f_2_v of iobuf_f_2 -- Compiling entity iobuf_f_24 -- Compiling architecture iobuf_f_24_v of iobuf_f_24 -- Compiling entity iobuf_f_4 -- Compiling architecture iobuf_f_4_v of iobuf_f_4 -- Compiling entity iobuf_f_6 -- Compiling architecture iobuf_f_6_v of iobuf_f_6 -- Compiling entity iobuf_f_8 -- Compiling architecture iobuf_f_8_v of iobuf_f_8 -- Compiling entity iobuf_gtl -- Compiling architecture iobuf_gtl_v of iobuf_gtl -- Compiling entity iobuf_gtl_dci -- Compiling architecture iobuf_gtl_dci_v of iobuf_gtl_dci -- Compiling entity iobuf_gtlp -- Compiling architecture iobuf_gtlp_v of iobuf_gtlp -- Compiling entity iobuf_gtlp_dci -- Compiling architecture iobuf_gtlp_dci_v of iobuf_gtlp_dci -- Compiling entity iobuf_hstl_i -- Compiling architecture iobuf_hstl_i_v of iobuf_hstl_i -- Compiling entity iobuf_hstl_i_18 -- Compiling architecture iobuf_hstl_i_18_v of iobuf_hstl_i_18 -- Compiling entity iobuf_hstl_ii -- Compiling architecture iobuf_hstl_ii_v of iobuf_hstl_ii -- Compiling entity iobuf_hstl_ii_18 -- Compiling architecture iobuf_hstl_ii_18_v of iobuf_hstl_ii_18 -- Compiling entity iobuf_hstl_ii_dci -- Compiling architecture iobuf_hstl_ii_dci_v of iobuf_hstl_ii_dci -- Compiling entity iobuf_hstl_ii_dci_18 -- Compiling architecture iobuf_hstl_ii_dci_18_v of iobuf_hstl_ii_dci_18 -- Compiling entity iobuf_hstl_iii -- Compiling architecture iobuf_hstl_iii_v of iobuf_hstl_iii -- Compiling entity iobuf_hstl_iii_18 -- Compiling architecture iobuf_hstl_iii_18_v of iobuf_hstl_iii_18 -- Compiling entity iobuf_hstl_iv -- Compiling architecture iobuf_hstl_iv_v of iobuf_hstl_iv -- Compiling entity iobuf_hstl_iv_18 -- Compiling architecture iobuf_hstl_iv_18_v of iobuf_hstl_iv_18 -- Compiling entity iobuf_hstl_iv_dci -- Compiling architecture iobuf_hstl_iv_dci_v of iobuf_hstl_iv_dci -- Compiling entity iobuf_hstl_iv_dci_18 -- Compiling architecture iobuf_hstl_iv_dci_18_v of iobuf_hstl_iv_dci_18 -- Compiling entity iobuf_lvcmos12 -- Compiling architecture iobuf_lvcmos12_v of iobuf_lvcmos12 -- Compiling entity iobuf_lvcmos12_f_2 -- Compiling architecture iobuf_lvcmos12_f_2_v of iobuf_lvcmos12_f_2 -- Compiling entity iobuf_lvcmos12_f_4 -- Compiling architecture iobuf_lvcmos12_f_4_v of iobuf_lvcmos12_f_4 -- Compiling entity iobuf_lvcmos12_f_6 -- Compiling architecture iobuf_lvcmos12_f_6_v of iobuf_lvcmos12_f_6 -- Compiling entity iobuf_lvcmos12_f_8 -- Compiling architecture iobuf_lvcmos12_f_8_v of iobuf_lvcmos12_f_8 -- Compiling entity iobuf_lvcmos12_s_2 -- Compiling architecture iobuf_lvcmos12_s_2_v of iobuf_lvcmos12_s_2 -- Compiling entity iobuf_lvcmos12_s_4 -- Compiling architecture iobuf_lvcmos12_s_4_v of iobuf_lvcmos12_s_4 -- Compiling entity iobuf_lvcmos12_s_6 -- Compiling architecture iobuf_lvcmos12_s_6_v of iobuf_lvcmos12_s_6 -- Compiling entity iobuf_lvcmos12_s_8 -- Compiling architecture iobuf_lvcmos12_s_8_v of iobuf_lvcmos12_s_8 -- Compiling entity iobuf_lvcmos15 -- Compiling architecture iobuf_lvcmos15_v of iobuf_lvcmos15 -- Compiling entity iobuf_lvcmos15_f_12 -- Compiling architecture iobuf_lvcmos15_f_12_v of iobuf_lvcmos15_f_12 -- Compiling entity iobuf_lvcmos15_f_16 -- Compiling architecture iobuf_lvcmos15_f_16_v of iobuf_lvcmos15_f_16 -- Compiling entity iobuf_lvcmos15_f_2 -- Compiling architecture iobuf_lvcmos15_f_2_v of iobuf_lvcmos15_f_2 -- Compiling entity iobuf_lvcmos15_f_4 -- Compiling architecture iobuf_lvcmos15_f_4_v of iobuf_lvcmos15_f_4 -- Compiling entity iobuf_lvcmos15_f_6 -- Compiling architecture iobuf_lvcmos15_f_6_v of iobuf_lvcmos15_f_6 -- Compiling entity iobuf_lvcmos15_f_8 -- Compiling architecture iobuf_lvcmos15_f_8_v of iobuf_lvcmos15_f_8 -- Compiling entity iobuf_lvcmos15_s_12 -- Compiling architecture iobuf_lvcmos15_s_12_v of iobuf_lvcmos15_s_12 -- Compiling entity iobuf_lvcmos15_s_16 -- Compiling architecture iobuf_lvcmos15_s_16_v of iobuf_lvcmos15_s_16 -- Compiling entity iobuf_lvcmos15_s_2 -- Compiling architecture iobuf_lvcmos15_s_2_v of iobuf_lvcmos15_s_2 -- Compiling entity iobuf_lvcmos15_s_4 -- Compiling architecture iobuf_lvcmos15_s_4_v of iobuf_lvcmos15_s_4 -- Compiling entity iobuf_lvcmos15_s_6 -- Compiling architecture iobuf_lvcmos15_s_6_v of iobuf_lvcmos15_s_6 -- Compiling entity iobuf_lvcmos15_s_8 -- Compiling architecture iobuf_lvcmos15_s_8_v of iobuf_lvcmos15_s_8 -- Compiling entity iobuf_lvcmos18 -- Compiling architecture iobuf_lvcmos18_v of iobuf_lvcmos18 -- Compiling entity iobuf_lvcmos18_f_12 -- Compiling architecture iobuf_lvcmos18_f_12_v of iobuf_lvcmos18_f_12 -- Compiling entity iobuf_lvcmos18_f_16 -- Compiling architecture iobuf_lvcmos18_f_16_v of iobuf_lvcmos18_f_16 -- Compiling entity iobuf_lvcmos18_f_2 -- Compiling architecture iobuf_lvcmos18_f_2_v of iobuf_lvcmos18_f_2 -- Compiling entity iobuf_lvcmos18_f_4 -- Compiling architecture iobuf_lvcmos18_f_4_v of iobuf_lvcmos18_f_4 -- Compiling entity iobuf_lvcmos18_f_6 -- Compiling architecture iobuf_lvcmos18_f_6_v of iobuf_lvcmos18_f_6 -- Compiling entity iobuf_lvcmos18_f_8 -- Compiling architecture iobuf_lvcmos18_f_8_v of iobuf_lvcmos18_f_8 -- Compiling entity iobuf_lvcmos18_s_12 -- Compiling architecture iobuf_lvcmos18_s_12_v of iobuf_lvcmos18_s_12 -- Compiling entity iobuf_lvcmos18_s_16 -- Compiling architecture iobuf_lvcmos18_s_16_v of iobuf_lvcmos18_s_16 -- Compiling entity iobuf_lvcmos18_s_2 -- Compiling architecture iobuf_lvcmos18_s_2_v of iobuf_lvcmos18_s_2 -- Compiling entity iobuf_lvcmos18_s_4 -- Compiling architecture iobuf_lvcmos18_s_4_v of iobuf_lvcmos18_s_4 -- Compiling entity iobuf_lvcmos18_s_6 -- Compiling architecture iobuf_lvcmos18_s_6_v of iobuf_lvcmos18_s_6 -- Compiling entity iobuf_lvcmos18_s_8 -- Compiling architecture iobuf_lvcmos18_s_8_v of iobuf_lvcmos18_s_8 -- Compiling entity iobuf_lvcmos2 -- Compiling architecture iobuf_lvcmos2_v of iobuf_lvcmos2 -- Compiling entity iobuf_lvcmos25 -- Compiling architecture iobuf_lvcmos25_v of iobuf_lvcmos25 -- Compiling entity iobuf_lvcmos25_f_12 -- Compiling architecture iobuf_lvcmos25_f_12_v of iobuf_lvcmos25_f_12 -- Compiling entity iobuf_lvcmos25_f_16 -- Compiling architecture iobuf_lvcmos25_f_16_v of iobuf_lvcmos25_f_16 -- Compiling entity iobuf_lvcmos25_f_2 -- Compiling architecture iobuf_lvcmos25_f_2_v of iobuf_lvcmos25_f_2 -- Compiling entity iobuf_lvcmos25_f_24 -- Compiling architecture iobuf_lvcmos25_f_24_v of iobuf_lvcmos25_f_24 -- Compiling entity iobuf_lvcmos25_f_4 -- Compiling architecture iobuf_lvcmos25_f_4_v of iobuf_lvcmos25_f_4 -- Compiling entity iobuf_lvcmos25_f_6 -- Compiling architecture iobuf_lvcmos25_f_6_v of iobuf_lvcmos25_f_6 -- Compiling entity iobuf_lvcmos25_f_8 -- Compiling architecture iobuf_lvcmos25_f_8_v of iobuf_lvcmos25_f_8 -- Compiling entity iobuf_lvcmos25_s_12 -- Compiling architecture iobuf_lvcmos25_s_12_v of iobuf_lvcmos25_s_12 -- Compiling entity iobuf_lvcmos25_s_16 -- Compiling architecture iobuf_lvcmos25_s_16_v of iobuf_lvcmos25_s_16 -- Compiling entity iobuf_lvcmos25_s_2 -- Compiling architecture iobuf_lvcmos25_s_2_v of iobuf_lvcmos25_s_2 -- Compiling entity iobuf_lvcmos25_s_24 -- Compiling architecture iobuf_lvcmos25_s_24_v of iobuf_lvcmos25_s_24 -- Compiling entity iobuf_lvcmos25_s_4 -- Compiling architecture iobuf_lvcmos25_s_4_v of iobuf_lvcmos25_s_4 -- Compiling entity iobuf_lvcmos25_s_6 -- Compiling architecture iobuf_lvcmos25_s_6_v of iobuf_lvcmos25_s_6 -- Compiling entity iobuf_lvcmos25_s_8 -- Compiling architecture iobuf_lvcmos25_s_8_v of iobuf_lvcmos25_s_8 -- Compiling entity iobuf_lvcmos33 -- Compiling architecture iobuf_lvcmos33_v of iobuf_lvcmos33 -- Compiling entity iobuf_lvcmos33_f_12 -- Compiling architecture iobuf_lvcmos33_f_12_v of iobuf_lvcmos33_f_12 -- Compiling entity iobuf_lvcmos33_f_16 -- Compiling architecture iobuf_lvcmos33_f_16_v of iobuf_lvcmos33_f_16 -- Compiling entity iobuf_lvcmos33_f_2 -- Compiling architecture iobuf_lvcmos33_f_2_v of iobuf_lvcmos33_f_2 -- Compiling entity iobuf_lvcmos33_f_24 -- Compiling architecture iobuf_lvcmos33_f_24_v of iobuf_lvcmos33_f_24 -- Compiling entity iobuf_lvcmos33_f_4 -- Compiling architecture iobuf_lvcmos33_f_4_v of iobuf_lvcmos33_f_4 -- Compiling entity iobuf_lvcmos33_f_6 -- Compiling architecture iobuf_lvcmos33_f_6_v of iobuf_lvcmos33_f_6 -- Compiling entity iobuf_lvcmos33_f_8 -- Compiling architecture iobuf_lvcmos33_f_8_v of iobuf_lvcmos33_f_8 -- Compiling entity iobuf_lvcmos33_s_12 -- Compiling architecture iobuf_lvcmos33_s_12_v of iobuf_lvcmos33_s_12 -- Compiling entity iobuf_lvcmos33_s_16 -- Compiling architecture iobuf_lvcmos33_s_16_v of iobuf_lvcmos33_s_16 -- Compiling entity iobuf_lvcmos33_s_2 -- Compiling architecture iobuf_lvcmos33_s_2_v of iobuf_lvcmos33_s_2 -- Compiling entity iobuf_lvcmos33_s_24 -- Compiling architecture iobuf_lvcmos33_s_24_v of iobuf_lvcmos33_s_24 -- Compiling entity iobuf_lvcmos33_s_4 -- Compiling architecture iobuf_lvcmos33_s_4_v of iobuf_lvcmos33_s_4 -- Compiling entity iobuf_lvcmos33_s_6 -- Compiling architecture iobuf_lvcmos33_s_6_v of iobuf_lvcmos33_s_6 -- Compiling entity iobuf_lvcmos33_s_8 -- Compiling architecture iobuf_lvcmos33_s_8_v of iobuf_lvcmos33_s_8 -- Compiling entity iobuf_lvdci_15 -- Compiling architecture iobuf_lvdci_15_v of iobuf_lvdci_15 -- Compiling entity iobuf_lvdci_18 -- Compiling architecture iobuf_lvdci_18_v of iobuf_lvdci_18 -- Compiling entity iobuf_lvdci_25 -- Compiling architecture iobuf_lvdci_25_v of iobuf_lvdci_25 -- Compiling entity iobuf_lvdci_33 -- Compiling architecture iobuf_lvdci_33_v of iobuf_lvdci_33 -- Compiling entity iobuf_lvdci_dv2_15 -- Compiling architecture iobuf_lvdci_dv2_15_v of iobuf_lvdci_dv2_15 -- Compiling entity iobuf_lvdci_dv2_18 -- Compiling architecture iobuf_lvdci_dv2_18_v of iobuf_lvdci_dv2_18 -- Compiling entity iobuf_lvdci_dv2_25 -- Compiling architecture iobuf_lvdci_dv2_25_v of iobuf_lvdci_dv2_25 -- Compiling entity iobuf_lvdci_dv2_33 -- Compiling architecture iobuf_lvdci_dv2_33_v of iobuf_lvdci_dv2_33 -- Compiling entity iobuf_lvds -- Compiling architecture iobuf_lvds_v of iobuf_lvds -- Compiling entity iobuf_lvpecl -- Compiling architecture iobuf_lvpecl_v of iobuf_lvpecl -- Compiling entity iobuf_lvttl -- Compiling architecture iobuf_lvttl_v of iobuf_lvttl -- Compiling entity iobuf_lvttl_f_12 -- Compiling architecture iobuf_lvttl_f_12_v of iobuf_lvttl_f_12 -- Compiling entity iobuf_lvttl_f_16 -- Compiling architecture iobuf_lvttl_f_16_v of iobuf_lvttl_f_16 -- Compiling entity iobuf_lvttl_f_2 -- Compiling architecture iobuf_lvttl_f_2_v of iobuf_lvttl_f_2 -- Compiling entity iobuf_lvttl_f_24 -- Compiling architecture iobuf_lvttl_f_24_v of iobuf_lvttl_f_24 -- Compiling entity iobuf_lvttl_f_4 -- Compiling architecture iobuf_lvttl_f_4_v of iobuf_lvttl_f_4 -- Compiling entity iobuf_lvttl_f_6 -- Compiling architecture iobuf_lvttl_f_6_v of iobuf_lvttl_f_6 -- Compiling entity iobuf_lvttl_f_8 -- Compiling architecture iobuf_lvttl_f_8_v of iobuf_lvttl_f_8 -- Compiling entity iobuf_lvttl_s_12 -- Compiling architecture iobuf_lvttl_s_12_v of iobuf_lvttl_s_12 -- Compiling entity iobuf_lvttl_s_16 -- Compiling architecture iobuf_lvttl_s_16_v of iobuf_lvttl_s_16 -- Compiling entity iobuf_lvttl_s_2 -- Compiling architecture iobuf_lvttl_s_2_v of iobuf_lvttl_s_2 -- Compiling entity iobuf_lvttl_s_24 -- Compiling architecture iobuf_lvttl_s_24_v of iobuf_lvttl_s_24 -- Compiling entity iobuf_lvttl_s_4 -- Compiling architecture iobuf_lvttl_s_4_v of iobuf_lvttl_s_4 -- Compiling entity iobuf_lvttl_s_6 -- Compiling architecture iobuf_lvttl_s_6_v of iobuf_lvttl_s_6 -- Compiling entity iobuf_lvttl_s_8 -- Compiling architecture iobuf_lvttl_s_8_v of iobuf_lvttl_s_8 -- Compiling entity iobuf_pci33_3 -- Compiling architecture iobuf_pci33_3_v of iobuf_pci33_3 -- Compiling entity iobuf_pci33_5 -- Compiling architecture iobuf_pci33_5_v of iobuf_pci33_5 -- Compiling entity iobuf_pci66_3 -- Compiling architecture iobuf_pci66_3_v of iobuf_pci66_3 -- Compiling entity iobuf_pcix -- Compiling architecture iobuf_pcix_v of iobuf_pcix -- Compiling entity iobuf_pcix66_3 -- Compiling architecture iobuf_pcix66_3_v of iobuf_pcix66_3 -- Compiling entity iobuf_s_12 -- Compiling architecture iobuf_s_12_v of iobuf_s_12 -- Compiling entity iobuf_s_16 -- Compiling architecture iobuf_s_16_v of iobuf_s_16 -- Compiling entity iobuf_s_2 -- Compiling architecture iobuf_s_2_v of iobuf_s_2 -- Compiling entity iobuf_s_24 -- Compiling architecture iobuf_s_24_v of iobuf_s_24 -- Compiling entity iobuf_s_4 -- Compiling architecture iobuf_s_4_v of iobuf_s_4 -- Compiling entity iobuf_s_6 -- Compiling architecture iobuf_s_6_v of iobuf_s_6 -- Compiling entity iobuf_s_8 -- Compiling architecture iobuf_s_8_v of iobuf_s_8 -- Compiling entity iobuf_sstl18_i -- Compiling architecture iobuf_sstl18_i_v of iobuf_sstl18_i -- Compiling entity iobuf_sstl18_ii -- Compiling architecture iobuf_sstl18_ii_v of iobuf_sstl18_ii -- Compiling entity iobuf_sstl18_ii_dci -- Compiling architecture iobuf_sstl18_ii_dci_v of iobuf_sstl18_ii_dci -- Compiling entity iobuf_sstl2_i -- Compiling architecture iobuf_sstl2_i_v of iobuf_sstl2_i -- Compiling entity iobuf_sstl2_ii -- Compiling architecture iobuf_sstl2_ii_v of iobuf_sstl2_ii -- Compiling entity iobuf_sstl2_ii_dci -- Compiling architecture iobuf_sstl2_ii_dci_v of iobuf_sstl2_ii_dci -- Compiling entity iobuf_sstl3_i -- Compiling architecture iobuf_sstl3_i_v of iobuf_sstl3_i -- Compiling entity iobuf_sstl3_ii -- Compiling architecture iobuf_sstl3_ii_v of iobuf_sstl3_ii -- Compiling entity iobuf_sstl3_ii_dci -- Compiling architecture iobuf_sstl3_ii_dci_v of iobuf_sstl3_ii_dci -- Compiling entity iobufds -- Compiling architecture iobufds_v of iobufds -- Compiling entity iobufds_blvds_25 -- Compiling architecture iobufds_blvds_25_v of iobufds_blvds_25 -- Compiling entity keeper -- Compiling architecture keeper_v of keeper -- Compiling entity ld -- Compiling architecture ld_v of ld -- Compiling entity ld_1 -- Compiling architecture ld_1_v of ld_1 -- Compiling entity ldc -- Compiling architecture ldc_v of ldc -- Compiling entity ldc_1 -- Compiling architecture ldc_1_v of ldc_1 -- Compiling entity ldce -- Compiling architecture ldce_v of ldce -- Compiling entity ldce_1 -- Compiling architecture ldce_1_v of ldce_1 -- Compiling entity ldcp -- Compiling architecture ldcp_v of ldcp -- Compiling entity ldcp_1 -- Compiling architecture ldcp_1_v of ldcp_1 -- Compiling entity ldcpe -- Compiling architecture ldcpe_v of ldcpe -- Compiling entity ldcpe_1 -- Compiling architecture ldcpe_1_v of ldcpe_1 -- Compiling entity lde -- Compiling architecture lde_v of lde -- Compiling entity lde_1 -- Compiling architecture lde_1_v of lde_1 -- Compiling entity ldp -- Compiling architecture ldp_v of ldp -- Compiling entity ldp_1 -- Compiling architecture ldp_1_v of ldp_1 -- Compiling entity ldpe -- Compiling architecture ldpe_v of ldpe -- Compiling entity ldpe_1 -- Compiling architecture ldpe_1_v of ldpe_1 -- Compiling entity lut1 -- Compiling architecture lut1_v of lut1 -- Compiling entity lut1_d -- Compiling architecture lut1_d_v of lut1_d -- Compiling entity lut1_l -- Compiling architecture lut1_l_v of lut1_l -- Loading package numeric_std -- Compiling entity lut2 -- Compiling architecture lut2_v of lut2 -- Compiling entity lut2_d -- Compiling architecture lut2_d_v of lut2_d -- Compiling entity lut2_l -- Compiling architecture lut2_l_v of lut2_l -- Compiling entity lut3 -- Compiling architecture lut3_v of lut3 -- Compiling entity lut3_d -- Compiling architecture lut3_d_v of lut3_d -- Compiling entity lut3_l -- Compiling architecture lut3_l_v of lut3_l -- Compiling entity lut4 -- Compiling architecture lut4_v of lut4 -- Compiling entity lut4_d -- Compiling architecture lut4_d_v of lut4_d -- Compiling entity lut4_l -- Compiling architecture lut4_l_v of lut4_l -- Compiling entity mult18x18 -- Compiling architecture mult18x18_v of mult18x18 -- Compiling entity mult18x18s -- Compiling architecture mult18x18s_v of mult18x18s -- Compiling entity mult_and -- Compiling architecture mult_and_v of mult_and -- Compiling entity muxcy -- Compiling architecture muxcy_v of muxcy -- Compiling entity muxcy_d -- Compiling architecture muxcy_d_v of muxcy_d -- Compiling entity muxcy_l -- Compiling architecture muxcy_l_v of muxcy_l -- Compiling entity muxf5 -- Compiling architecture muxf5_v of muxf5 -- Compiling entity muxf5_d -- Compiling architecture muxf5_d_v of muxf5_d -- Compiling entity muxf5_l -- Compiling architecture muxf5_l_v of muxf5_l -- Compiling entity muxf6 -- Compiling architecture muxf6_v of muxf6 -- Compiling entity muxf6_d -- Compiling architecture muxf6_d_v of muxf6_d -- Compiling entity muxf6_l -- Compiling architecture muxf6_l_v of muxf6_l -- Compiling entity muxf7 -- Compiling architecture muxf7_v of muxf7 -- Compiling entity muxf7_d -- Compiling architecture muxf7_d_v of muxf7_d -- Compiling entity muxf7_l -- Compiling architecture muxf7_l_v of muxf7_l -- Compiling entity muxf8 -- Compiling architecture muxf8_v of muxf8 -- Compiling entity muxf8_d -- Compiling architecture muxf8_d_v of muxf8_d -- Compiling entity muxf8_l -- Compiling architecture muxf8_l_v of muxf8_l -- Compiling entity nand2 -- Compiling architecture nand2_v of nand2 -- Compiling entity nand2b1 -- Compiling architecture nand2b1_v of nand2b1 -- Compiling entity nand2b2 -- Compiling architecture nand2b2_v of nand2b2 -- Compiling entity nand3 -- Compiling architecture nand3_v of nand3 -- Compiling entity nand3b1 -- Compiling architecture nand3b1_v of nand3b1 -- Compiling entity nand3b2 -- Compiling architecture nand3b2_v of nand3b2 -- Compiling entity nand3b3 -- Compiling architecture nand3b3_v of nand3b3 -- Compiling entity nand4 -- Compiling architecture nand4_v of nand4 -- Compiling entity nand4b1 -- Compiling architecture nand4b1_v of nand4b1 -- Compiling entity nand4b2 -- Compiling architecture nand4b2_v of nand4b2 -- Compiling entity nand4b3 -- Compiling architecture nand4b3_v of nand4b3 -- Compiling entity nand4b4 -- Compiling architecture nand4b4_v of nand4b4 -- Compiling entity nand5 -- Compiling architecture nand5_v of nand5 -- Compiling entity nand5b1 -- Compiling architecture nand5b1_v of nand5b1 -- Compiling entity nand5b2 -- Compiling architecture nand5b2_v of nand5b2 -- Compiling entity nand5b3 -- Compiling architecture nand5b3_v of nand5b3 -- Compiling entity nand5b4 -- Compiling architecture nand5b4_v of nand5b4 -- Compiling entity nand5b5 -- Compiling architecture nand5b5_v of nand5b5 -- Compiling entity nor2 -- Compiling architecture nor2_v of nor2 -- Compiling entity nor2b1 -- Compiling architecture nor2b1_v of nor2b1 -- Compiling entity nor2b2 -- Compiling architecture nor2b2_v of nor2b2 -- Compiling entity nor3 -- Compiling architecture nor3_v of nor3 -- Compiling entity nor3b1 -- Compiling architecture nor3b1_v of nor3b1 -- Compiling entity nor3b2 -- Compiling architecture nor3b2_v of nor3b2 -- Compiling entity nor3b3 -- Compiling architecture nor3b3_v of nor3b3 -- Compiling entity nor4 -- Compiling architecture nor4_v of nor4 -- Compiling entity nor4b1 -- Compiling architecture nor4b1_v of nor4b1 -- Compiling entity nor4b2 -- Compiling architecture nor4b2_v of nor4b2 -- Compiling entity nor4b3 -- Compiling architecture nor4b3_v of nor4b3 -- Compiling entity nor4b4 -- Compiling architecture nor4b4_v of nor4b4 -- Compiling entity nor5 -- Compiling architecture nor5_v of nor5 -- Compiling entity nor5b1 -- Compiling architecture nor5b1_v of nor5b1 -- Compiling entity nor5b2 -- Compiling architecture nor5b2_v of nor5b2 -- Compiling entity nor5b3 -- Compiling architecture nor5b3_v of nor5b3 -- Compiling entity nor5b4 -- Compiling architecture nor5b4_v of nor5b4 -- Compiling entity nor5b5 -- Compiling architecture nor5b5_v of nor5b5 -- Compiling entity obuf -- Compiling architecture obuf_v of obuf -- Compiling entity obuf_agp -- Compiling architecture obuf_agp_v of obuf_agp -- Compiling entity obuf_ctt -- Compiling architecture obuf_ctt_v of obuf_ctt -- Compiling entity obuf_f_12 -- Compiling architecture obuf_f_12_v of obuf_f_12 -- Compiling entity obuf_f_16 -- Compiling architecture obuf_f_16_v of obuf_f_16 -- Compiling entity obuf_f_2 -- Compiling architecture obuf_f_2_v of obuf_f_2 -- Compiling entity obuf_f_24 -- Compiling architecture obuf_f_24_v of obuf_f_24 -- Compiling entity obuf_f_4 -- Compiling architecture obuf_f_4_v of obuf_f_4 -- Compiling entity obuf_f_6 -- Compiling architecture obuf_f_6_v of obuf_f_6 -- Compiling entity obuf_f_8 -- Compiling architecture obuf_f_8_v of obuf_f_8 -- Compiling entity obuf_gtl -- Compiling architecture obuf_gtl_v of obuf_gtl -- Compiling entity obuf_gtl_dci -- Compiling architecture obuf_gtl_dci_v of obuf_gtl_dci -- Compiling entity obuf_gtlp -- Compiling architecture obuf_gtlp_v of obuf_gtlp -- Compiling entity obuf_gtlp_dci -- Compiling architecture obuf_gtlp_dci_v of obuf_gtlp_dci -- Compiling entity obuf_hstl_i -- Compiling architecture obuf_hstl_i_v of obuf_hstl_i -- Compiling entity obuf_hstl_i_18 -- Compiling architecture obuf_hstl_i_18_v of obuf_hstl_i_18 -- Compiling entity obuf_hstl_i_dci -- Compiling architecture obuf_hstl_i_dci_v of obuf_hstl_i_dci -- Compiling entity obuf_hstl_i_dci_18 -- Compiling architecture obuf_hstl_i_dci_18_v of obuf_hstl_i_dci_18 -- Compiling entity obuf_hstl_ii -- Compiling architecture obuf_hstl_ii_v of obuf_hstl_ii -- Compiling entity obuf_hstl_ii_18 -- Compiling architecture obuf_hstl_ii_18_v of obuf_hstl_ii_18 -- Compiling entity obuf_hstl_ii_dci -- Compiling architecture obuf_hstl_ii_dci_v of obuf_hstl_ii_dci -- Compiling entity obuf_hstl_ii_dci_18 -- Compiling architecture obuf_hstl_ii_dci_18_v of obuf_hstl_ii_dci_18 -- Compiling entity obuf_hstl_iii -- Compiling architecture obuf_hstl_iii_v of obuf_hstl_iii -- Compiling entity obuf_hstl_iii_18 -- Compiling architecture obuf_hstl_iii_18_v of obuf_hstl_iii_18 -- Compiling entity obuf_hstl_iii_dci -- Compiling architecture obuf_hstl_iii_dci_v of obuf_hstl_iii_dci -- Compiling entity obuf_hstl_iii_dci_18 -- Compiling architecture obuf_hstl_iii_dci_18_v of obuf_hstl_iii_dci_18 -- Compiling entity obuf_hstl_iv -- Compiling architecture obuf_hstl_iv_v of obuf_hstl_iv -- Compiling entity obuf_hstl_iv_18 -- Compiling architecture obuf_hstl_iv_18_v of obuf_hstl_iv_18 -- Compiling entity obuf_hstl_iv_dci -- Compiling architecture obuf_hstl_iv_dci_v of obuf_hstl_iv_dci -- Compiling entity obuf_hstl_iv_dci_18 -- Compiling architecture obuf_hstl_iv_dci_18_v of obuf_hstl_iv_dci_18 -- Compiling entity obuf_lvcmos12 -- Compiling architecture obuf_lvcmos12_v of obuf_lvcmos12 -- Compiling entity obuf_lvcmos12_f_2 -- Compiling architecture obuf_lvcmos12_f_2_v of obuf_lvcmos12_f_2 -- Compiling entity obuf_lvcmos12_f_4 -- Compiling architecture obuf_lvcmos12_f_4_v of obuf_lvcmos12_f_4 -- Compiling entity obuf_lvcmos12_f_6 -- Compiling architecture obuf_lvcmos12_f_6_v of obuf_lvcmos12_f_6 -- Compiling entity obuf_lvcmos12_f_8 -- Compiling architecture obuf_lvcmos12_f_8_v of obuf_lvcmos12_f_8 -- Compiling entity obuf_lvcmos12_s_2 -- Compiling architecture obuf_lvcmos12_s_2_v of obuf_lvcmos12_s_2 -- Compiling entity obuf_lvcmos12_s_4 -- Compiling architecture obuf_lvcmos12_s_4_v of obuf_lvcmos12_s_4 -- Compiling entity obuf_lvcmos12_s_6 -- Compiling architecture obuf_lvcmos12_s_6_v of obuf_lvcmos12_s_6 -- Compiling entity obuf_lvcmos12_s_8 -- Compiling architecture obuf_lvcmos12_s_8_v of obuf_lvcmos12_s_8 -- Compiling entity obuf_lvcmos15 -- Compiling architecture obuf_lvcmos15_v of obuf_lvcmos15 -- Compiling entity obuf_lvcmos15_f_12 -- Compiling architecture obuf_lvcmos15_f_12_v of obuf_lvcmos15_f_12 -- Compiling entity obuf_lvcmos15_f_16 -- Compiling architecture obuf_lvcmos15_f_16_v of obuf_lvcmos15_f_16 -- Compiling entity obuf_lvcmos15_f_2 -- Compiling architecture obuf_lvcmos15_f_2_v of obuf_lvcmos15_f_2 -- Compiling entity obuf_lvcmos15_f_4 -- Compiling architecture obuf_lvcmos15_f_4_v of obuf_lvcmos15_f_4 -- Compiling entity obuf_lvcmos15_f_6 -- Compiling architecture obuf_lvcmos15_f_6_v of obuf_lvcmos15_f_6 -- Compiling entity obuf_lvcmos15_f_8 -- Compiling architecture obuf_lvcmos15_f_8_v of obuf_lvcmos15_f_8 -- Compiling entity obuf_lvcmos15_s_12 -- Compiling architecture obuf_lvcmos15_s_12_v of obuf_lvcmos15_s_12 -- Compiling entity obuf_lvcmos15_s_16 -- Compiling architecture obuf_lvcmos15_s_16_v of obuf_lvcmos15_s_16 -- Compiling entity obuf_lvcmos15_s_2 -- Compiling architecture obuf_lvcmos15_s_2_v of obuf_lvcmos15_s_2 -- Compiling entity obuf_lvcmos15_s_4 -- Compiling architecture obuf_lvcmos15_s_4_v of obuf_lvcmos15_s_4 -- Compiling entity obuf_lvcmos15_s_6 -- Compiling architecture obuf_lvcmos15_s_6_v of obuf_lvcmos15_s_6 -- Compiling entity obuf_lvcmos15_s_8 -- Compiling architecture obuf_lvcmos15_s_8_v of obuf_lvcmos15_s_8 -- Compiling entity obuf_lvcmos18 -- Compiling architecture obuf_lvcmos18_v of obuf_lvcmos18 -- Compiling entity obuf_lvcmos18_f_12 -- Compiling architecture obuf_lvcmos18_f_12_v of obuf_lvcmos18_f_12 -- Compiling entity obuf_lvcmos18_f_16 -- Compiling architecture obuf_lvcmos18_f_16_v of obuf_lvcmos18_f_16 -- Compiling entity obuf_lvcmos18_f_2 -- Compiling architecture obuf_lvcmos18_f_2_v of obuf_lvcmos18_f_2 -- Compiling entity obuf_lvcmos18_f_4 -- Compiling architecture obuf_lvcmos18_f_4_v of obuf_lvcmos18_f_4 -- Compiling entity obuf_lvcmos18_f_6 -- Compiling architecture obuf_lvcmos18_f_6_v of obuf_lvcmos18_f_6 -- Compiling entity obuf_lvcmos18_f_8 -- Compiling architecture obuf_lvcmos18_f_8_v of obuf_lvcmos18_f_8 -- Compiling entity obuf_lvcmos18_s_12 -- Compiling architecture obuf_lvcmos18_s_12_v of obuf_lvcmos18_s_12 -- Compiling entity obuf_lvcmos18_s_16 -- Compiling architecture obuf_lvcmos18_s_16_v of obuf_lvcmos18_s_16 -- Compiling entity obuf_lvcmos18_s_2 -- Compiling architecture obuf_lvcmos18_s_2_v of obuf_lvcmos18_s_2 -- Compiling entity obuf_lvcmos18_s_4 -- Compiling architecture obuf_lvcmos18_s_4_v of obuf_lvcmos18_s_4 -- Compiling entity obuf_lvcmos18_s_6 -- Compiling architecture obuf_lvcmos18_s_6_v of obuf_lvcmos18_s_6 -- Compiling entity obuf_lvcmos18_s_8 -- Compiling architecture obuf_lvcmos18_s_8_v of obuf_lvcmos18_s_8 -- Compiling entity obuf_lvcmos2 -- Compiling architecture obuf_lvcmos2_v of obuf_lvcmos2 -- Compiling entity obuf_lvcmos25 -- Compiling architecture obuf_lvcmos25_v of obuf_lvcmos25 -- Compiling entity obuf_lvcmos25_f_12 -- Compiling architecture obuf_lvcmos25_f_12_v of obuf_lvcmos25_f_12 -- Compiling entity obuf_lvcmos25_f_16 -- Compiling architecture obuf_lvcmos25_f_16_v of obuf_lvcmos25_f_16 -- Compiling entity obuf_lvcmos25_f_2 -- Compiling architecture obuf_lvcmos25_f_2_v of obuf_lvcmos25_f_2 -- Compiling entity obuf_lvcmos25_f_24 -- Compiling architecture obuf_lvcmos25_f_24_v of obuf_lvcmos25_f_24 -- Compiling entity obuf_lvcmos25_f_4 -- Compiling architecture obuf_lvcmos25_f_4_v of obuf_lvcmos25_f_4 -- Compiling entity obuf_lvcmos25_f_6 -- Compiling architecture obuf_lvcmos25_f_6_v of obuf_lvcmos25_f_6 -- Compiling entity obuf_lvcmos25_f_8 -- Compiling architecture obuf_lvcmos25_f_8_v of obuf_lvcmos25_f_8 -- Compiling entity obuf_lvcmos25_s_12 -- Compiling architecture obuf_lvcmos25_s_12_v of obuf_lvcmos25_s_12 -- Compiling entity obuf_lvcmos25_s_16 -- Compiling architecture obuf_lvcmos25_s_16_v of obuf_lvcmos25_s_16 -- Compiling entity obuf_lvcmos25_s_2 -- Compiling architecture obuf_lvcmos25_s_2_v of obuf_lvcmos25_s_2 -- Compiling entity obuf_lvcmos25_s_24 -- Compiling architecture obuf_lvcmos25_s_24_v of obuf_lvcmos25_s_24 -- Compiling entity obuf_lvcmos25_s_4 -- Compiling architecture obuf_lvcmos25_s_4_v of obuf_lvcmos25_s_4 -- Compiling entity obuf_lvcmos25_s_6 -- Compiling architecture obuf_lvcmos25_s_6_v of obuf_lvcmos25_s_6 -- Compiling entity obuf_lvcmos25_s_8 -- Compiling architecture obuf_lvcmos25_s_8_v of obuf_lvcmos25_s_8 -- Compiling entity obuf_lvcmos33 -- Compiling architecture obuf_lvcmos33_v of obuf_lvcmos33 -- Compiling entity obuf_lvcmos33_f_12 -- Compiling architecture obuf_lvcmos33_f_12_v of obuf_lvcmos33_f_12 -- Compiling entity obuf_lvcmos33_f_16 -- Compiling architecture obuf_lvcmos33_f_16_v of obuf_lvcmos33_f_16 -- Compiling entity obuf_lvcmos33_f_2 -- Compiling architecture obuf_lvcmos33_f_2_v of obuf_lvcmos33_f_2 -- Compiling entity obuf_lvcmos33_f_24 -- Compiling architecture obuf_lvcmos33_f_24_v of obuf_lvcmos33_f_24 -- Compiling entity obuf_lvcmos33_f_4 -- Compiling architecture obuf_lvcmos33_f_4_v of obuf_lvcmos33_f_4 -- Compiling entity obuf_lvcmos33_f_6 -- Compiling architecture obuf_lvcmos33_f_6_v of obuf_lvcmos33_f_6 -- Compiling entity obuf_lvcmos33_f_8 -- Compiling architecture obuf_lvcmos33_f_8_v of obuf_lvcmos33_f_8 -- Compiling entity obuf_lvcmos33_s_12 -- Compiling architecture obuf_lvcmos33_s_12_v of obuf_lvcmos33_s_12 -- Compiling entity obuf_lvcmos33_s_16 -- Compiling architecture obuf_lvcmos33_s_16_v of obuf_lvcmos33_s_16 -- Compiling entity obuf_lvcmos33_s_2 -- Compiling architecture obuf_lvcmos33_s_2_v of obuf_lvcmos33_s_2 -- Compiling entity obuf_lvcmos33_s_24 -- Compiling architecture obuf_lvcmos33_s_24_v of obuf_lvcmos33_s_24 -- Compiling entity obuf_lvcmos33_s_4 -- Compiling architecture obuf_lvcmos33_s_4_v of obuf_lvcmos33_s_4 -- Compiling entity obuf_lvcmos33_s_6 -- Compiling architecture obuf_lvcmos33_s_6_v of obuf_lvcmos33_s_6 -- Compiling entity obuf_lvcmos33_s_8 -- Compiling architecture obuf_lvcmos33_s_8_v of obuf_lvcmos33_s_8 -- Compiling entity obuf_lvdci_15 -- Compiling architecture obuf_lvdci_15_v of obuf_lvdci_15 -- Compiling entity obuf_lvdci_18 -- Compiling architecture obuf_lvdci_18_v of obuf_lvdci_18 -- Compiling entity obuf_lvdci_25 -- Compiling architecture obuf_lvdci_25_v of obuf_lvdci_25 -- Compiling entity obuf_lvdci_33 -- Compiling architecture obuf_lvdci_33_v of obuf_lvdci_33 -- Compiling entity obuf_lvdci_dv2_15 -- Compiling architecture obuf_lvdci_dv2_15_v of obuf_lvdci_dv2_15 -- Compiling entity obuf_lvdci_dv2_18 -- Compiling architecture obuf_lvdci_dv2_18_v of obuf_lvdci_dv2_18 -- Compiling entity obuf_lvdci_dv2_25 -- Compiling architecture obuf_lvdci_dv2_25_v of obuf_lvdci_dv2_25 -- Compiling entity obuf_lvdci_dv2_33 -- Compiling architecture obuf_lvdci_dv2_33_v of obuf_lvdci_dv2_33 -- Compiling entity obuf_lvds -- Compiling architecture obuf_lvds_v of obuf_lvds -- Compiling entity obuf_lvpecl -- Compiling architecture obuf_lvpecl_v of obuf_lvpecl -- Compiling entity obuf_lvttl -- Compiling architecture obuf_lvttl_v of obuf_lvttl -- Compiling entity obuf_lvttl_f_12 -- Compiling architecture obuf_lvttl_f_12_v of obuf_lvttl_f_12 -- Compiling entity obuf_lvttl_f_16 -- Compiling architecture obuf_lvttl_f_16_v of obuf_lvttl_f_16 -- Compiling entity obuf_lvttl_f_2 -- Compiling architecture obuf_lvttl_f_2_v of obuf_lvttl_f_2 -- Compiling entity obuf_lvttl_f_24 -- Compiling architecture obuf_lvttl_f_24_v of obuf_lvttl_f_24 -- Compiling entity obuf_lvttl_f_4 -- Compiling architecture obuf_lvttl_f_4_v of obuf_lvttl_f_4 -- Compiling entity obuf_lvttl_f_6 -- Compiling architecture obuf_lvttl_f_6_v of obuf_lvttl_f_6 -- Compiling entity obuf_lvttl_f_8 -- Compiling architecture obuf_lvttl_f_8_v of obuf_lvttl_f_8 -- Compiling entity obuf_lvttl_s_12 -- Compiling architecture obuf_lvttl_s_12_v of obuf_lvttl_s_12 -- Compiling entity obuf_lvttl_s_16 -- Compiling architecture obuf_lvttl_s_16_v of obuf_lvttl_s_16 -- Compiling entity obuf_lvttl_s_2 -- Compiling architecture obuf_lvttl_s_2_v of obuf_lvttl_s_2 -- Compiling entity obuf_lvttl_s_24 -- Compiling architecture obuf_lvttl_s_24_v of obuf_lvttl_s_24 -- Compiling entity obuf_lvttl_s_4 -- Compiling architecture obuf_lvttl_s_4_v of obuf_lvttl_s_4 -- Compiling entity obuf_lvttl_s_6 -- Compiling architecture obuf_lvttl_s_6_v of obuf_lvttl_s_6 -- Compiling entity obuf_lvttl_s_8 -- Compiling architecture obuf_lvttl_s_8_v of obuf_lvttl_s_8 -- Compiling entity obuf_pci33_3 -- Compiling architecture obuf_pci33_3_v of obuf_pci33_3 -- Compiling entity obuf_pci33_5 -- Compiling architecture obuf_pci33_5_v of obuf_pci33_5 -- Compiling entity obuf_pci66_3 -- Compiling architecture obuf_pci66_3_v of obuf_pci66_3 -- Compiling entity obuf_pcix -- Compiling architecture obuf_pcix_v of obuf_pcix -- Compiling entity obuf_pcix66_3 -- Compiling architecture obuf_pcix66_3_v of obuf_pcix66_3 -- Compiling entity obuf_s_12 -- Compiling architecture obuf_s_12_v of obuf_s_12 -- Compiling entity obuf_s_16 -- Compiling architecture obuf_s_16_v of obuf_s_16 -- Compiling entity obuf_s_2 -- Compiling architecture obuf_s_2_v of obuf_s_2 -- Compiling entity obuf_s_24 -- Compiling architecture obuf_s_24_v of obuf_s_24 -- Compiling entity obuf_s_4 -- Compiling architecture obuf_s_4_v of obuf_s_4 -- Compiling entity obuf_s_6 -- Compiling architecture obuf_s_6_v of obuf_s_6 -- Compiling entity obuf_s_8 -- Compiling architecture obuf_s_8_v of obuf_s_8 -- Compiling entity obuf_sstl18_i -- Compiling architecture obuf_sstl18_i_v of obuf_sstl18_i -- Compiling entity obuf_sstl18_i_dci -- Compiling architecture obuf_sstl18_i_dci_v of obuf_sstl18_i_dci -- Compiling entity obuf_sstl18_ii -- Compiling architecture obuf_sstl18_ii_v of obuf_sstl18_ii -- Compiling entity obuf_sstl18_ii_dci -- Compiling architecture obuf_sstl18_ii_dci_v of obuf_sstl18_ii_dci -- Compiling entity obuf_sstl2_i -- Compiling architecture obuf_sstl2_i_v of obuf_sstl2_i -- Compiling entity obuf_sstl2_i_dci -- Compiling architecture obuf_sstl2_i_dci_v of obuf_sstl2_i_dci -- Compiling entity obuf_sstl2_ii -- Compiling architecture obuf_sstl2_ii_v of obuf_sstl2_ii -- Compiling entity obuf_sstl2_ii_dci -- Compiling architecture obuf_sstl2_ii_dci_v of obuf_sstl2_ii_dci -- Compiling entity obuf_sstl3_i -- Compiling architecture obuf_sstl3_i_v of obuf_sstl3_i -- Compiling entity obuf_sstl3_i_dci -- Compiling architecture obuf_sstl3_i_dci_v of obuf_sstl3_i_dci -- Compiling entity obuf_sstl3_ii -- Compiling architecture obuf_sstl3_ii_v of obuf_sstl3_ii -- Compiling entity obuf_sstl3_ii_dci -- Compiling architecture obuf_sstl3_ii_dci_v of obuf_sstl3_ii_dci -- Compiling entity obufds -- Compiling architecture obufds_v of obufds -- Compiling entity obufds_blvds_25 -- Compiling architecture obufds_blvds_25_v of obufds_blvds_25 -- Compiling entity obufds_ldt_25 -- Compiling architecture obufds_ldt_25_v of obufds_ldt_25 -- Compiling entity obufds_lvds_25 -- Compiling architecture obufds_lvds_25_v of obufds_lvds_25 -- Compiling entity obufds_lvds_33 -- Compiling architecture obufds_lvds_33_v of obufds_lvds_33 -- Compiling entity obufds_lvdsext_25 -- Compiling architecture obufds_lvdsext_25_v of obufds_lvdsext_25 -- Compiling entity obufds_lvdsext_33 -- Compiling architecture obufds_lvdsext_33_v of obufds_lvdsext_33 -- Compiling entity obufds_lvpecl_25 -- Compiling architecture obufds_lvpecl_25_v of obufds_lvpecl_25 -- Compiling entity obufds_lvpecl_33 -- Compiling architecture obufds_lvpecl_33_v of obufds_lvpecl_33 -- Compiling entity obufds_ulvds_25 -- Compiling architecture obufds_ulvds_25_v of obufds_ulvds_25 -- Compiling entity obuft -- Compiling architecture obuft_v of obuft -- Compiling entity obuft_agp -- Compiling architecture obuft_agp_v of obuft_agp -- Compiling entity obuft_ctt -- Compiling architecture obuft_ctt_v of obuft_ctt -- Compiling entity obuft_f_12 -- Compiling architecture obuft_f_12_v of obuft_f_12 -- Compiling entity obuft_f_16 -- Compiling architecture obuft_f_16_v of obuft_f_16 -- Compiling entity obuft_f_2 -- Compiling architecture obuft_f_2_v of obuft_f_2 -- Compiling entity obuft_f_24 -- Compiling architecture obuft_f_24_v of obuft_f_24 -- Compiling entity obuft_f_4 -- Compiling architecture obuft_f_4_v of obuft_f_4 -- Compiling entity obuft_f_6 -- Compiling architecture obuft_f_6_v of obuft_f_6 -- Compiling entity obuft_f_8 -- Compiling architecture obuft_f_8_v of obuft_f_8 -- Compiling entity obuft_gtl -- Compiling architecture obuft_gtl_v of obuft_gtl -- Compiling entity obuft_gtl_dci -- Compiling architecture obuft_gtl_dci_v of obuft_gtl_dci -- Compiling entity obuft_gtlp -- Compiling architecture obuft_gtlp_v of obuft_gtlp -- Compiling entity obuft_gtlp_dci -- Compiling architecture obuft_gtlp_dci_v of obuft_gtlp_dci -- Compiling entity obuft_hstl_i -- Compiling architecture obuft_hstl_i_v of obuft_hstl_i -- Compiling entity obuft_hstl_i_18 -- Compiling architecture obuft_hstl_i_18_v of obuft_hstl_i_18 -- Compiling entity obuft_hstl_i_dci -- Compiling architecture obuft_hstl_i_dci_v of obuft_hstl_i_dci -- Compiling entity obuft_hstl_i_dci_18 -- Compiling architecture obuft_hstl_i_dci_18_v of obuft_hstl_i_dci_18 -- Compiling entity obuft_hstl_ii -- Compiling architecture obuft_hstl_ii_v of obuft_hstl_ii -- Compiling entity obuft_hstl_ii_18 -- Compiling architecture obuft_hstl_ii_18_v of obuft_hstl_ii_18 -- Compiling entity obuft_hstl_ii_dci -- Compiling architecture obuft_hstl_ii_dci_v of obuft_hstl_ii_dci -- Compiling entity obuft_hstl_ii_dci_18 -- Compiling architecture obuft_hstl_ii_dci_18_v of obuft_hstl_ii_dci_18 -- Compiling entity obuft_hstl_iii -- Compiling architecture obuft_hstl_iii_v of obuft_hstl_iii -- Compiling entity obuft_hstl_iii_18 -- Compiling architecture obuft_hstl_iii_18_v of obuft_hstl_iii_18 -- Compiling entity obuft_hstl_iii_dci -- Compiling architecture obuft_hstl_iii_dci_v of obuft_hstl_iii_dci -- Compiling entity obuft_hstl_iii_dci_18 -- Compiling architecture obuft_hstl_iii_dci_18_v of obuft_hstl_iii_dci_18 -- Compiling entity obuft_hstl_iv -- Compiling architecture obuft_hstl_iv_v of obuft_hstl_iv -- Compiling entity obuft_hstl_iv_18 -- Compiling architecture obuft_hstl_iv_18_v of obuft_hstl_iv_18 -- Compiling entity obuft_hstl_iv_dci -- Compiling architecture obuft_hstl_iv_dci_v of obuft_hstl_iv_dci -- Compiling entity obuft_hstl_iv_dci_18 -- Compiling architecture obuft_hstl_iv_dci_18_v of obuft_hstl_iv_dci_18 -- Compiling entity obuft_lvcmos12 -- Compiling architecture obuft_lvcmos12_v of obuft_lvcmos12 -- Compiling entity obuft_lvcmos12_f_2 -- Compiling architecture obuft_lvcmos12_f_2_v of obuft_lvcmos12_f_2 -- Compiling entity obuft_lvcmos12_f_4 -- Compiling architecture obuft_lvcmos12_f_4_v of obuft_lvcmos12_f_4 -- Compiling entity obuft_lvcmos12_f_6 -- Compiling architecture obuft_lvcmos12_f_6_v of obuft_lvcmos12_f_6 -- Compiling entity obuft_lvcmos12_f_8 -- Compiling architecture obuft_lvcmos12_f_8_v of obuft_lvcmos12_f_8 -- Compiling entity obuft_lvcmos12_s_2 -- Compiling architecture obuft_lvcmos12_s_2_v of obuft_lvcmos12_s_2 -- Compiling entity obuft_lvcmos12_s_4 -- Compiling architecture obuft_lvcmos12_s_4_v of obuft_lvcmos12_s_4 -- Compiling entity obuft_lvcmos12_s_6 -- Compiling architecture obuft_lvcmos12_s_6_v of obuft_lvcmos12_s_6 -- Compiling entity obuft_lvcmos12_s_8 -- Compiling architecture obuft_lvcmos12_s_8_v of obuft_lvcmos12_s_8 -- Compiling entity obuft_lvcmos15 -- Compiling architecture obuft_lvcmos15_v of obuft_lvcmos15 -- Compiling entity obuft_lvcmos15_f_12 -- Compiling architecture obuft_lvcmos15_f_12_v of obuft_lvcmos15_f_12 -- Compiling entity obuft_lvcmos15_f_16 -- Compiling architecture obuft_lvcmos15_f_16_v of obuft_lvcmos15_f_16 -- Compiling entity obuft_lvcmos15_f_2 -- Compiling architecture obuft_lvcmos15_f_2_v of obuft_lvcmos15_f_2 -- Compiling entity obuft_lvcmos15_f_4 -- Compiling architecture obuft_lvcmos15_f_4_v of obuft_lvcmos15_f_4 -- Compiling entity obuft_lvcmos15_f_6 -- Compiling architecture obuft_lvcmos15_f_6_v of obuft_lvcmos15_f_6 -- Compiling entity obuft_lvcmos15_f_8 -- Compiling architecture obuft_lvcmos15_f_8_v of obuft_lvcmos15_f_8 -- Compiling entity obuft_lvcmos15_s_12 -- Compiling architecture obuft_lvcmos15_s_12_v of obuft_lvcmos15_s_12 -- Compiling entity obuft_lvcmos15_s_16 -- Compiling architecture obuft_lvcmos15_s_16_v of obuft_lvcmos15_s_16 -- Compiling entity obuft_lvcmos15_s_2 -- Compiling architecture obuft_lvcmos15_s_2_v of obuft_lvcmos15_s_2 -- Compiling entity obuft_lvcmos15_s_4 -- Compiling architecture obuft_lvcmos15_s_4_v of obuft_lvcmos15_s_4 -- Compiling entity obuft_lvcmos15_s_6 -- Compiling architecture obuft_lvcmos15_s_6_v of obuft_lvcmos15_s_6 -- Compiling entity obuft_lvcmos15_s_8 -- Compiling architecture obuft_lvcmos15_s_8_v of obuft_lvcmos15_s_8 -- Compiling entity obuft_lvcmos18 -- Compiling architecture obuft_lvcmos18_v of obuft_lvcmos18 -- Compiling entity obuft_lvcmos18_f_12 -- Compiling architecture obuft_lvcmos18_f_12_v of obuft_lvcmos18_f_12 -- Compiling entity obuft_lvcmos18_f_16 -- Compiling architecture obuft_lvcmos18_f_16_v of obuft_lvcmos18_f_16 -- Compiling entity obuft_lvcmos18_f_2 -- Compiling architecture obuft_lvcmos18_f_2_v of obuft_lvcmos18_f_2 -- Compiling entity obuft_lvcmos18_f_4 -- Compiling architecture obuft_lvcmos18_f_4_v of obuft_lvcmos18_f_4 -- Compiling entity obuft_lvcmos18_f_6 -- Compiling architecture obuft_lvcmos18_f_6_v of obuft_lvcmos18_f_6 -- Compiling entity obuft_lvcmos18_f_8 -- Compiling architecture obuft_lvcmos18_f_8_v of obuft_lvcmos18_f_8 -- Compiling entity obuft_lvcmos18_s_12 -- Compiling architecture obuft_lvcmos18_s_12_v of obuft_lvcmos18_s_12 -- Compiling entity obuft_lvcmos18_s_16 -- Compiling architecture obuft_lvcmos18_s_16_v of obuft_lvcmos18_s_16 -- Compiling entity obuft_lvcmos18_s_2 -- Compiling architecture obuft_lvcmos18_s_2_v of obuft_lvcmos18_s_2 -- Compiling entity obuft_lvcmos18_s_4 -- Compiling architecture obuft_lvcmos18_s_4_v of obuft_lvcmos18_s_4 -- Compiling entity obuft_lvcmos18_s_6 -- Compiling architecture obuft_lvcmos18_s_6_v of obuft_lvcmos18_s_6 -- Compiling entity obuft_lvcmos18_s_8 -- Compiling architecture obuft_lvcmos18_s_8_v of obuft_lvcmos18_s_8 -- Compiling entity obuft_lvcmos2 -- Compiling architecture obuft_lvcmos2_v of obuft_lvcmos2 -- Compiling entity obuft_lvcmos25 -- Compiling architecture obuft_lvcmos25_v of obuft_lvcmos25 -- Compiling entity obuft_lvcmos25_f_12 -- Compiling architecture obuft_lvcmos25_f_12_v of obuft_lvcmos25_f_12 -- Compiling entity obuft_lvcmos25_f_16 -- Compiling architecture obuft_lvcmos25_f_16_v of obuft_lvcmos25_f_16 -- Compiling entity obuft_lvcmos25_f_2 -- Compiling architecture obuft_lvcmos25_f_2_v of obuft_lvcmos25_f_2 -- Compiling entity obuft_lvcmos25_f_24 -- Compiling architecture obuft_lvcmos25_f_24_v of obuft_lvcmos25_f_24 -- Compiling entity obuft_lvcmos25_f_4 -- Compiling architecture obuft_lvcmos25_f_4_v of obuft_lvcmos25_f_4 -- Compiling entity obuft_lvcmos25_f_6 -- Compiling architecture obuft_lvcmos25_f_6_v of obuft_lvcmos25_f_6 -- Compiling entity obuft_lvcmos25_f_8 -- Compiling architecture obuft_lvcmos25_f_8_v of obuft_lvcmos25_f_8 -- Compiling entity obuft_lvcmos25_s_12 -- Compiling architecture obuft_lvcmos25_s_12_v of obuft_lvcmos25_s_12 -- Compiling entity obuft_lvcmos25_s_16 -- Compiling architecture obuft_lvcmos25_s_16_v of obuft_lvcmos25_s_16 -- Compiling entity obuft_lvcmos25_s_2 -- Compiling architecture obuft_lvcmos25_s_2_v of obuft_lvcmos25_s_2 -- Compiling entity obuft_lvcmos25_s_24 -- Compiling architecture obuft_lvcmos25_s_24_v of obuft_lvcmos25_s_24 -- Compiling entity obuft_lvcmos25_s_4 -- Compiling architecture obuft_lvcmos25_s_4_v of obuft_lvcmos25_s_4 -- Compiling entity obuft_lvcmos25_s_6 -- Compiling architecture obuft_lvcmos25_s_6_v of obuft_lvcmos25_s_6 -- Compiling entity obuft_lvcmos25_s_8 -- Compiling architecture obuft_lvcmos25_s_8_v of obuft_lvcmos25_s_8 -- Compiling entity obuft_lvcmos33 -- Compiling architecture obuft_lvcmos33_v of obuft_lvcmos33 -- Compiling entity obuft_lvcmos33_f_12 -- Compiling architecture obuft_lvcmos33_f_12_v of obuft_lvcmos33_f_12 -- Compiling entity obuft_lvcmos33_f_16 -- Compiling architecture obuft_lvcmos33_f_16_v of obuft_lvcmos33_f_16 -- Compiling entity obuft_lvcmos33_f_2 -- Compiling architecture obuft_lvcmos33_f_2_v of obuft_lvcmos33_f_2 -- Compiling entity obuft_lvcmos33_f_24 -- Compiling architecture obuft_lvcmos33_f_24_v of obuft_lvcmos33_f_24 -- Compiling entity obuft_lvcmos33_f_4 -- Compiling architecture obuft_lvcmos33_f_4_v of obuft_lvcmos33_f_4 -- Compiling entity obuft_lvcmos33_f_6 -- Compiling architecture obuft_lvcmos33_f_6_v of obuft_lvcmos33_f_6 -- Compiling entity obuft_lvcmos33_f_8 -- Compiling architecture obuft_lvcmos33_f_8_v of obuft_lvcmos33_f_8 -- Compiling entity obuft_lvcmos33_s_12 -- Compiling architecture obuft_lvcmos33_s_12_v of obuft_lvcmos33_s_12 -- Compiling entity obuft_lvcmos33_s_16 -- Compiling architecture obuft_lvcmos33_s_16_v of obuft_lvcmos33_s_16 -- Compiling entity obuft_lvcmos33_s_2 -- Compiling architecture obuft_lvcmos33_s_2_v of obuft_lvcmos33_s_2 -- Compiling entity obuft_lvcmos33_s_24 -- Compiling architecture obuft_lvcmos33_s_24_v of obuft_lvcmos33_s_24 -- Compiling entity obuft_lvcmos33_s_4 -- Compiling architecture obuft_lvcmos33_s_4_v of obuft_lvcmos33_s_4 -- Compiling entity obuft_lvcmos33_s_6 -- Compiling architecture obuft_lvcmos33_s_6_v of obuft_lvcmos33_s_6 -- Compiling entity obuft_lvcmos33_s_8 -- Compiling architecture obuft_lvcmos33_s_8_v of obuft_lvcmos33_s_8 -- Compiling entity obuft_lvdci_15 -- Compiling architecture obuft_lvdci_15_v of obuft_lvdci_15 -- Compiling entity obuft_lvdci_18 -- Compiling architecture obuft_lvdci_18_v of obuft_lvdci_18 -- Compiling entity obuft_lvdci_25 -- Compiling architecture obuft_lvdci_25_v of obuft_lvdci_25 -- Compiling entity obuft_lvdci_33 -- Compiling architecture obuft_lvdci_33_v of obuft_lvdci_33 -- Compiling entity obuft_lvdci_dv2_15 -- Compiling architecture obuft_lvdci_dv2_15_v of obuft_lvdci_dv2_15 -- Compiling entity obuft_lvdci_dv2_18 -- Compiling architecture obuft_lvdci_dv2_18_v of obuft_lvdci_dv2_18 -- Compiling entity obuft_lvdci_dv2_25 -- Compiling architecture obuft_lvdci_dv2_25_v of obuft_lvdci_dv2_25 -- Compiling entity obuft_lvdci_dv2_33 -- Compiling architecture obuft_lvdci_dv2_33_v of obuft_lvdci_dv2_33 -- Compiling entity obuft_lvds -- Compiling architecture obuft_lvds_v of obuft_lvds -- Compiling entity obuft_lvpecl -- Compiling architecture obuft_lvpecl_v of obuft_lvpecl -- Compiling entity obuft_lvttl -- Compiling architecture obuft_lvttl_v of obuft_lvttl -- Compiling entity obuft_lvttl_f_12 -- Compiling architecture obuft_lvttl_f_12_v of obuft_lvttl_f_12 -- Compiling entity obuft_lvttl_f_16 -- Compiling architecture obuft_lvttl_f_16_v of obuft_lvttl_f_16 -- Compiling entity obuft_lvttl_f_2 -- Compiling architecture obuft_lvttl_f_2_v of obuft_lvttl_f_2 -- Compiling entity obuft_lvttl_f_24 -- Compiling architecture obuft_lvttl_f_24_v of obuft_lvttl_f_24 -- Compiling entity obuft_lvttl_f_4 -- Compiling architecture obuft_lvttl_f_4_v of obuft_lvttl_f_4 -- Compiling entity obuft_lvttl_f_6 -- Compiling architecture obuft_lvttl_f_6_v of obuft_lvttl_f_6 -- Compiling entity obuft_lvttl_f_8 -- Compiling architecture obuft_lvttl_f_8_v of obuft_lvttl_f_8 -- Compiling entity obuft_lvttl_s_12 -- Compiling architecture obuft_lvttl_s_12_v of obuft_lvttl_s_12 -- Compiling entity obuft_lvttl_s_16 -- Compiling architecture obuft_lvttl_s_16_v of obuft_lvttl_s_16 -- Compiling entity obuft_lvttl_s_2 -- Compiling architecture obuft_lvttl_s_2_v of obuft_lvttl_s_2 -- Compiling entity obuft_lvttl_s_24 -- Compiling architecture obuft_lvttl_s_24_v of obuft_lvttl_s_24 -- Compiling entity obuft_lvttl_s_4 -- Compiling architecture obuft_lvttl_s_4_v of obuft_lvttl_s_4 -- Compiling entity obuft_lvttl_s_6 -- Compiling architecture obuft_lvttl_s_6_v of obuft_lvttl_s_6 -- Compiling entity obuft_lvttl_s_8 -- Compiling architecture obuft_lvttl_s_8_v of obuft_lvttl_s_8 -- Compiling entity obuft_pci33_3 -- Compiling architecture obuft_pci33_3_v of obuft_pci33_3 -- Compiling entity obuft_pci33_5 -- Compiling architecture obuft_pci33_5_v of obuft_pci33_5 -- Compiling entity obuft_pci66_3 -- Compiling architecture obuft_pci66_3_v of obuft_pci66_3 -- Compiling entity obuft_pcix -- Compiling architecture obuft_pcix_v of obuft_pcix -- Compiling entity obuft_pcix66_3 -- Compiling architecture obuft_pcix66_3_v of obuft_pcix66_3 -- Compiling entity obuft_s_12 -- Compiling architecture obuft_s_12_v of obuft_s_12 -- Compiling entity obuft_s_16 -- Compiling architecture obuft_s_16_v of obuft_s_16 -- Compiling entity obuft_s_2 -- Compiling architecture obuft_s_2_v of obuft_s_2 -- Compiling entity obuft_s_24 -- Compiling architecture obuft_s_24_v of obuft_s_24 -- Compiling entity obuft_s_4 -- Compiling architecture obuft_s_4_v of obuft_s_4 -- Compiling entity obuft_s_6 -- Compiling architecture obuft_s_6_v of obuft_s_6 -- Compiling entity obuft_s_8 -- Compiling architecture obuft_s_8_v of obuft_s_8 -- Compiling entity obuft_sstl18_i -- Compiling architecture obuft_sstl18_i_v of obuft_sstl18_i -- Compiling entity obuft_sstl18_i_dci -- Compiling architecture obuft_sstl18_i_dci_v of obuft_sstl18_i_dci -- Compiling entity obuft_sstl18_ii -- Compiling architecture obuft_sstl18_ii_v of obuft_sstl18_ii -- Compiling entity obuft_sstl18_ii_dci -- Compiling architecture obuft_sstl18_ii_dci_v of obuft_sstl18_ii_dci -- Compiling entity obuft_sstl2_i -- Compiling architecture obuft_sstl2_i_v of obuft_sstl2_i -- Compiling entity obuft_sstl2_i_dci -- Compiling architecture obuft_sstl2_i_dci_v of obuft_sstl2_i_dci -- Compiling entity obuft_sstl2_ii -- Compiling architecture obuft_sstl2_ii_v of obuft_sstl2_ii -- Compiling entity obuft_sstl2_ii_dci -- Compiling architecture obuft_sstl2_ii_dci_v of obuft_sstl2_ii_dci -- Compiling entity obuft_sstl3_i -- Compiling architecture obuft_sstl3_i_v of obuft_sstl3_i -- Compiling entity obuft_sstl3_i_dci -- Compiling architecture obuft_sstl3_i_dci_v of obuft_sstl3_i_dci -- Compiling entity obuft_sstl3_ii -- Compiling architecture obuft_sstl3_ii_v of obuft_sstl3_ii -- Compiling entity obuft_sstl3_ii_dci -- Compiling architecture obuft_sstl3_ii_dci_v of obuft_sstl3_ii_dci -- Compiling entity obuftds -- Compiling architecture obuftds_v of obuftds -- Compiling entity obuftds_blvds_25 -- Compiling architecture obuftds_blvds_25_v of obuftds_blvds_25 -- Compiling entity obuftds_ldt_25 -- Compiling architecture obuftds_ldt_25_v of obuftds_ldt_25 -- Compiling entity obuftds_lvds_25 -- Compiling architecture obuftds_lvds_25_v of obuftds_lvds_25 -- Compiling entity obuftds_lvds_33 -- Compiling architecture obuftds_lvds_33_v of obuftds_lvds_33 -- Compiling entity obuftds_lvdsext_25 -- Compiling architecture obuftds_lvdsext_25_v of obuftds_lvdsext_25 -- Compiling entity obuftds_lvdsext_33 -- Compiling architecture obuftds_lvdsext_33_v of obuftds_lvdsext_33 -- Compiling entity obuftds_lvpecl_25 -- Compiling architecture obuftds_lvpecl_25_v of obuftds_lvpecl_25 -- Compiling entity obuftds_lvpecl_33 -- Compiling architecture obuftds_lvpecl_33_v of obuftds_lvpecl_33 -- Compiling entity obuftds_ulvds_25 -- Compiling architecture obuftds_ulvds_25_v of obuftds_ulvds_25 -- Compiling entity ofddrcpe -- Compiling architecture ofddrcpe_v of ofddrcpe -- Compiling entity ofddrrse -- Compiling architecture ofddrrse_v of ofddrrse -- Compiling entity ofddrtcpe -- Compiling architecture ofddrtcpe_v of ofddrtcpe -- Compiling entity ofddrtrse -- Compiling architecture ofddrtrse_v of ofddrtrse -- Compiling entity or2 -- Compiling architecture or2_v of or2 -- Compiling entity or2b1 -- Compiling architecture or2b1_v of or2b1 -- Compiling entity or2b2 -- Compiling architecture or2b2_v of or2b2 -- Compiling entity or3 -- Compiling architecture or3_v of or3 -- Compiling entity or3b1 -- Compiling architecture or3b1_v of or3b1 -- Compiling entity or3b2 -- Compiling architecture or3b2_v of or3b2 -- Compiling entity or3b3 -- Compiling architecture or3b3_v of or3b3 -- Compiling entity or4 -- Compiling architecture or4_v of or4 -- Compiling entity or4b1 -- Compiling architecture or4b1_v of or4b1 -- Compiling entity or4b2 -- Compiling architecture or4b2_v of or4b2 -- Compiling entity or4b3 -- Compiling architecture or4b3_v of or4b3 -- Compiling entity or4b4 -- Compiling architecture or4b4_v of or4b4 -- Compiling entity or5 -- Compiling architecture or5_v of or5 -- Compiling entity or5b1 -- Compiling architecture or5b1_v of or5b1 -- Compiling entity or5b2 -- Compiling architecture or5b2_v of or5b2 -- Compiling entity or5b3 -- Compiling architecture or5b3_v of or5b3 -- Compiling entity or5b4 -- Compiling architecture or5b4_v of or5b4 -- Compiling entity or5b5 -- Compiling architecture or5b5_v of or5b5 -- Compiling entity orcy -- Compiling architecture orcy_v of orcy -- Compiling entity pulldown -- Compiling architecture pulldown_v of pulldown -- Compiling entity pullup -- Compiling architecture pullup_v of pullup -- Compiling entity ram128x1s -- Compiling architecture ram128x1s_v of ram128x1s -- Compiling entity ram128x1s_1 -- Compiling architecture ram128x1s_1_v of ram128x1s_1 -- Compiling entity ram16x1d -- Compiling architecture ram16x1d_v of ram16x1d -- Compiling entity ram16x1d_1 -- Compiling architecture ram16x1d_1_v of ram16x1d_1 -- Compiling entity ram16x1s -- Compiling architecture ram16x1s_v of ram16x1s -- Compiling entity ram16x1s_1 -- Compiling architecture ram16x1s_1_v of ram16x1s_1 -- Compiling entity ram16x2s -- Compiling architecture ram16x2s_v of ram16x2s -- Compiling entity ram16x4s -- Compiling architecture ram16x4s_v of ram16x4s -- Compiling entity ram16x8s -- Compiling architecture ram16x8s_v of ram16x8s -- Compiling entity ram32x1d -- Compiling architecture ram32x1d_v of ram32x1d -- Compiling entity ram32x1d_1 -- Compiling architecture ram32x1d_1_v of ram32x1d_1 -- Compiling entity ram32x1s -- Compiling architecture ram32x1s_v of ram32x1s -- Compiling entity ram32x1s_1 -- Compiling architecture ram32x1s_1_v of ram32x1s_1 -- Compiling entity ram32x2s -- Compiling architecture ram32x2s_v of ram32x2s -- Compiling entity ram32x4s -- Compiling architecture ram32x4s_v of ram32x4s -- Compiling entity ram32x8s -- Compiling architecture ram32x8s_v of ram32x8s -- Compiling entity ram64x1d -- Compiling architecture ram64x1d_v of ram64x1d -- Compiling entity ram64x1d_1 -- Compiling architecture ram64x1d_1_v of ram64x1d_1 -- Compiling entity ram64x1s -- Compiling architecture ram64x1s_v of ram64x1s -- Compiling entity ram64x1s_1 -- Compiling architecture ram64x1s_1_v of ram64x1s_1 -- Compiling entity ram64x2s -- Compiling architecture ram64x2s_v of ram64x2s -- Compiling entity ramb16_s1 -- Compiling architecture ramb16_s1_v of ramb16_s1 -- Compiling entity ramb16_s18 -- Compiling architecture ramb16_s18_v of ramb16_s18 -- Compiling entity ramb16_s18_s18 -- Compiling architecture ramb16_s18_s18_v of ramb16_s18_s18 -- Compiling entity ramb16_s18_s36 -- Compiling architecture ramb16_s18_s36_v of ramb16_s18_s36 -- Compiling entity ramb16_s1_s1 -- Compiling architecture ramb16_s1_s1_v of ramb16_s1_s1 -- Compiling entity ramb16_s1_s18 -- Compiling architecture ramb16_s1_s18_v of ramb16_s1_s18 -- Compiling entity ramb16_s1_s2 -- Compiling architecture ramb16_s1_s2_v of ramb16_s1_s2 -- Compiling entity ramb16_s1_s36 -- Compiling architecture ramb16_s1_s36_v of ramb16_s1_s36 -- Compiling entity ramb16_s1_s4 -- Compiling architecture ramb16_s1_s4_v of ramb16_s1_s4 -- Compiling entity ramb16_s1_s9 -- Compiling architecture ramb16_s1_s9_v of ramb16_s1_s9 -- Compiling entity ramb16_s2 -- Compiling architecture ramb16_s2_v of ramb16_s2 -- Compiling entity ramb16_s2_s18 -- Compiling architecture ramb16_s2_s18_v of ramb16_s2_s18 -- Compiling entity ramb16_s2_s2 -- Compiling architecture ramb16_s2_s2_v of ramb16_s2_s2 -- Compiling entity ramb16_s2_s36 -- Compiling architecture ramb16_s2_s36_v of ramb16_s2_s36 -- Compiling entity ramb16_s2_s4 -- Compiling architecture ramb16_s2_s4_v of ramb16_s2_s4 -- Compiling entity ramb16_s2_s9 -- Compiling architecture ramb16_s2_s9_v of ramb16_s2_s9 -- Compiling entity ramb16_s36 -- Compiling architecture ramb16_s36_v of ramb16_s36 -- Compiling entity ramb16_s36_s36 -- Compiling architecture ramb16_s36_s36_v of ramb16_s36_s36 -- Loading package standard -- Loading package std_logic_1164 -- Loading package textio -- Loading package vital_timing -- Loading package vital_primitives -- Loading package vpkg -- Compiling entity ramb16_s4 -- Compiling architecture ramb16_s4_v of ramb16_s4 -- Compiling entity ramb16_s4_s18 -- Compiling architecture ramb16_s4_s18_v of ramb16_s4_s18 -- Compiling entity ramb16_s4_s36 -- Compiling architecture ramb16_s4_s36_v of ramb16_s4_s36 -- Compiling entity ramb16_s4_s4 -- Compiling architecture ramb16_s4_s4_v of ramb16_s4_s4 -- Compiling entity ramb16_s4_s9 -- Compiling architecture ramb16_s4_s9_v of ramb16_s4_s9 -- Compiling entity ramb16_s9 -- Compiling architecture ramb16_s9_v of ramb16_s9 -- Compiling entity ramb16_s9_s18 -- Compiling architecture ramb16_s9_s18_v of ramb16_s9_s18 -- Compiling entity ramb16_s9_s36 -- Compiling architecture ramb16_s9_s36_v of ramb16_s9_s36 -- Compiling entity ramb16_s9_s9 -- Compiling architecture ramb16_s9_s9_v of ramb16_s9_s9 -- Compiling entity ramb4_s1 -- Compiling architecture ramb4_s1_v of ramb4_s1 -- Compiling entity ramb4_s16 -- Compiling architecture ramb4_s16_v of ramb4_s16 -- Compiling entity ramb4_s16_s16 -- Compiling architecture ramb4_s16_s16_v of ramb4_s16_s16 -- Compiling entity ramb4_s1_s1 -- Compiling architecture ramb4_s1_s1_v of ramb4_s1_s1 -- Compiling entity ramb4_s1_s16 -- Compiling architecture ramb4_s1_s16_v of ramb4_s1_s16 -- Compiling entity ramb4_s1_s2 -- Compiling architecture ramb4_s1_s2_v of ramb4_s1_s2 -- Compiling entity ramb4_s1_s4 -- Compiling architecture ramb4_s1_s4_v of ramb4_s1_s4 -- Compiling entity ramb4_s1_s8 -- Compiling architecture ramb4_s1_s8_v of ramb4_s1_s8 -- Compiling entity ramb4_s2 -- Compiling architecture ramb4_s2_v of ramb4_s2 -- Compiling entity ramb4_s2_s16 -- Compiling architecture ramb4_s2_s16_v of ramb4_s2_s16 -- Compiling entity ramb4_s2_s2 -- Compiling architecture ramb4_s2_s2_v of ramb4_s2_s2 -- Compiling entity ramb4_s2_s4 -- Compiling architecture ramb4_s2_s4_v of ramb4_s2_s4 -- Compiling entity ramb4_s2_s8 -- Compiling architecture ramb4_s2_s8_v of ramb4_s2_s8 -- Compiling entity ramb4_s4 -- Compiling architecture ramb4_s4_v of ramb4_s4 -- Compiling entity ramb4_s4_s16 -- Compiling architecture ramb4_s4_s16_v of ramb4_s4_s16 -- Compiling entity ramb4_s4_s4 -- Compiling architecture ramb4_s4_s4_v of ramb4_s4_s4 -- Compiling entity ramb4_s4_s8 -- Compiling architecture ramb4_s4_s8_v of ramb4_s4_s8 -- Compiling entity ramb4_s8 -- Compiling architecture ramb4_s8_v of ramb4_s8 -- Compiling entity ramb4_s8_s16 -- Compiling architecture ramb4_s8_s16_v of ramb4_s8_s16 -- Compiling entity ramb4_s8_s8 -- Compiling architecture ramb4_s8_s8_v of ramb4_s8_s8 -- Compiling entity roc -- Compiling architecture roc_v of roc -- Compiling entity rocbuf -- Compiling architecture rocbuf_v of rocbuf -- Compiling entity rom128x1 -- Compiling architecture rom128x1_v of rom128x1 -- Compiling entity rom16x1 -- Compiling architecture rom16x1_v of rom16x1 -- Compiling entity rom256x1 -- Compiling architecture rom256x1_v of rom256x1 -- Compiling entity rom32x1 -- Compiling architecture rom32x1_v of rom32x1 -- Compiling entity rom64x1 -- Compiling architecture rom64x1_v of rom64x1 -- Compiling entity srl16 -- Compiling architecture srl16_v of srl16 -- Compiling entity srl16_1 -- Compiling architecture srl16_1_v of srl16_1 -- Compiling entity srl16e -- Compiling architecture srl16e_v of srl16e -- Compiling entity srl16e_1 -- Compiling architecture srl16e_1_v of srl16e_1 -- Compiling entity srlc16 -- Compiling architecture srlc16_v of srlc16 -- Compiling entity srlc16_1 -- Compiling architecture srlc16_1_v of srlc16_1 -- Compiling entity srlc16e -- Compiling architecture srlc16e_v of srlc16e -- Compiling entity srlc16e_1 -- Compiling architecture srlc16e_1_v of srlc16e_1 -- Compiling entity startbuf_fpgacore -- Compiling architecture startbuf_fpgacore_v of startbuf_fpgacore -- Compiling entity startbuf_spartan2 -- Compiling architecture startbuf_spartan2_v of startbuf_spartan2 -- Compiling entity startbuf_spartan3 -- Compiling architecture startbuf_spartan3_v of startbuf_spartan3 -- Compiling entity startbuf_virtex -- Compiling architecture startbuf_virtex_v of startbuf_virtex -- Compiling entity startbuf_virtex2 -- Compiling architecture startbuf_virtex2_v of startbuf_virtex2 -- Compiling entity startup_fpgacore -- Compiling architecture startup_fpgacore_v of startup_fpgacore -- Compiling entity startup_spartan2 -- Compiling architecture startup_spartan2_v of startup_spartan2 -- Compiling entity startup_spartan3 -- Compiling architecture startup_spartan3_v of startup_spartan3 -- Compiling entity startup_virtex -- Compiling architecture startup_virtex_v of startup_virtex -- Compiling entity startup_virtex2 -- Compiling architecture startup_virtex2_v of startup_virtex2 -- Compiling entity tblock -- Compiling architecture tblock_v of tblock -- Compiling entity timegrp -- Compiling architecture timegrp_v of timegrp -- Compiling entity timespec -- Compiling architecture timespec_v of timespec -- Compiling entity toc -- Compiling architecture toc_v of toc -- Compiling entity tocbuf -- Compiling architecture tocbuf_v of tocbuf -- Compiling entity vcc -- Compiling architecture vcc_v of vcc -- Compiling entity xnor2 -- Compiling architecture xnor2_v of xnor2 -- Compiling entity xnor3 -- Compiling architecture xnor3_v of xnor3 -- Compiling entity xnor4 -- Compiling architecture xnor4_v of xnor4 -- Compiling entity xnor5 -- Compiling architecture xnor5_v of xnor5 -- Compiling entity xor2 -- Compiling architecture xor2_v of xor2 -- Compiling entity xor3 -- Compiling architecture xor3_v of xor3 -- Compiling entity xor4 -- Compiling architecture xor4_v of xor4 -- Compiling entity xor5 -- Compiling architecture xor5_v of xor5 -- Compiling entity xorcy -- Compiling architecture xorcy_v of xorcy -- Compiling entity xorcy_d -- Compiling architecture xorcy_d_v of xorcy_d -- Compiling entity xorcy_l -- Compiling architecture xorcy_l_v of xorcy_l -- Compiling entity and6 -- Compiling architecture and6_v of and6 -- Compiling entity and7 -- Compiling architecture and7_v of and7 -- Compiling entity and8 -- Compiling architecture and8_v of and8 -- Compiling entity buffoe -- Compiling architecture buffoe_v of buffoe -- Compiling entity bufgsr -- Compiling architecture bufgsr_v of bufgsr -- Compiling entity bufgts -- Compiling architecture bufgts_v of bufgts -- Compiling entity clk_div10 -- Compiling architecture clk_div10_v of clk_div10 -- Compiling entity clk_div10r -- Compiling architecture clk_div10r_v of clk_div10r -- Compiling entity clk_div10rsd -- Compiling architecture clk_div10rsd_v of clk_div10rsd -- Compiling entity clk_div10sd -- Compiling architecture clk_div10sd_v of clk_div10sd -- Compiling entity clk_div12 -- Compiling architecture clk_div12_v of clk_div12 -- Compiling entity clk_div12r -- Compiling architecture clk_div12r_v of clk_div12r -- Compiling entity clk_div12rsd -- Compiling architecture clk_div12rsd_v of clk_div12rsd -- Compiling entity clk_div12sd -- Compiling architecture clk_div12sd_v of clk_div12sd -- Compiling entity clk_div14 -- Compiling architecture clk_div14_v of clk_div14 -- Compiling entity clk_div14r -- Compiling architecture clk_div14r_v of clk_div14r -- Compiling entity clk_div14rsd -- Compiling architecture clk_div14rsd_v of clk_div14rsd -- Compiling entity clk_div14sd -- Compiling architecture clk_div14sd_v of clk_div14sd -- Compiling entity clk_div16 -- Compiling architecture clk_div16_v of clk_div16 -- Compiling entity clk_div16r -- Compiling architecture clk_div16r_v of clk_div16r -- Compiling entity clk_div16rsd -- Compiling architecture clk_div16rsd_v of clk_div16rsd -- Compiling entity clk_div16sd -- Compiling architecture clk_div16sd_v of clk_div16sd -- Compiling entity clk_div2 -- Compiling architecture clk_div2_v of clk_div2 -- Compiling entity clk_div2r -- Compiling architecture clk_div2r_v of clk_div2r -- Compiling entity clk_div2rsd -- Compiling architecture clk_div2rsd_v of clk_div2rsd -- Compiling entity clk_div2sd -- Compiling architecture clk_div2sd_v of clk_div2sd -- Compiling entity clk_div4 -- Compiling architecture clk_div4_v of clk_div4 -- Compiling entity clk_div4r -- Compiling architecture clk_div4r_v of clk_div4r -- Compiling entity clk_div4rsd -- Compiling architecture clk_div4rsd_v of clk_div4rsd -- Compiling entity clk_div4sd -- Compiling architecture clk_div4sd_v of clk_div4sd -- Compiling entity clk_div6 -- Compiling architecture clk_div6_v of clk_div6 -- Compiling entity clk_div6r -- Compiling architecture clk_div6r_v of clk_div6r -- Compiling entity clk_div6rsd -- Compiling architecture clk_div6rsd_v of clk_div6rsd -- Compiling entity clk_div6sd -- Compiling architecture clk_div6sd_v of clk_div6sd -- Compiling entity clk_div8 -- Compiling architecture clk_div8_v of clk_div8 -- Compiling entity clk_div8r -- Compiling architecture clk_div8r_v of clk_div8r -- Compiling entity clk_div8rsd -- Compiling architecture clk_div8rsd_v of clk_div8rsd -- Compiling entity clk_div8sd -- Compiling architecture clk_div8sd_v of clk_div8sd -- Compiling entity fdcpx1 -- Compiling architecture fdcpx1_v of fdcpx1 -- Compiling entity fdd -- Compiling architecture fdd_v of fdd -- Compiling entity fddc -- Compiling architecture fddc_v of fddc -- Compiling entity fddce -- Compiling architecture fddce_v of fddce -- Compiling entity fddcp -- Compiling architecture fddcp_v of fddcp -- Compiling entity fddcpe -- Compiling architecture fddcpe_v of fddcpe -- Compiling entity fddp -- Compiling architecture fddp_v of fddp -- Compiling entity fddpe -- Compiling architecture fddpe_v of fddpe -- Compiling entity ftc -- Compiling architecture ftc_v of ftc -- Compiling entity ftcp -- Compiling architecture ftcp_v of ftcp -- Compiling entity ftp -- Compiling architecture ftp_v of ftp -- Compiling entity ild -- Compiling architecture ild_v of ild -- Compiling entity iobufe -- Compiling architecture iobufe_v of iobufe -- Compiling entity iobufe_f -- Compiling architecture iobufe_f_v of iobufe_f -- Compiling entity iobufe_s -- Compiling architecture iobufe_s_v of iobufe_s -- Compiling entity keep -- Compiling architecture keep_v of keep -- Compiling entity ldg -- Compiling architecture ldg_v of ldg -- Compiling entity merge -- Compiling architecture merge_v of merge -- Compiling entity min_off -- Compiling architecture min_off_v of min_off -- Compiling entity obufe -- Compiling architecture obufe_v of obufe -- Compiling entity opt_off -- Compiling architecture opt_off_v of opt_off -- Compiling entity opt_uim -- Compiling architecture opt_uim_v of opt_uim -- Compiling entity or6 -- Compiling architecture or6_v of or6 -- Compiling entity or7 -- Compiling architecture or7_v of or7 -- Compiling entity or8 -- Compiling architecture or8_v of or8 -- Compiling entity wireand -- Compiling architecture wireand_v of wireand -- Loading package vcomponents -- Compiling entity bscan_virtex4 -- Compiling architecture bscan_virtex4_v of bscan_virtex4 -- Compiling entity bufgctrl -- Compiling architecture bufgctrl_v of bufgctrl -- Loading package std_logic_arith -- Compiling entity bufgmux_virtex4 -- Compiling architecture bufgmux_virtex4_v of bufgmux_virtex4 -- Compiling entity bufio -- Compiling architecture bufio_v of bufio -- Compiling entity bufr -- Compiling architecture bufr_v of bufr -- Compiling entity capture_virtex4 -- Compiling architecture capture_virtex4_v of capture_virtex4 -- Compiling entity dcireset -- Compiling architecture dcireset_v of dcireset -- Compiling entity dcm_adv_clock_divide_by_2 -- Compiling architecture dcm_adv_clock_divide_by_2_v of dcm_adv_clock_divide_by_2 -- Compiling entity dcm_adv_maximum_period_check -- Compiling architecture dcm_adv_maximum_period_check_v of dcm_adv_maximum_period_check -- Compiling entity dcm_adv_clock_lost -- Compiling architecture dcm_adv_clock_lost_v of dcm_adv_clock_lost -- Compiling entity dcm_adv -- Compiling architecture dcm_adv_v of dcm_adv -- Loading package numeric_std -- Compiling entity dcm_base -- Compiling architecture dcm_base_v of dcm_base -- Compiling entity dcm_ps -- Compiling architecture dcm_ps_v of dcm_ps -- Loading package std_logic_signed -- Compiling entity dsp48 -- Compiling architecture dsp48_v of dsp48 -- Compiling entity fifo16 -- Compiling architecture fifo16_v of fifo16 -- Compiling entity frame_ecc_virtex4 -- Compiling architecture frame_ecc_virtex4_v of frame_ecc_virtex4 -- Compiling entity gt11clk -- Compiling architecture gt11clk_v of gt11clk -- Compiling entity gt11clk_mgt -- Compiling architecture gt11clk_mgt_v of gt11clk_mgt -- Compiling entity icap_virtex4 -- Compiling architecture icap_virtex4_v of icap_virtex4 -- Compiling entity iddr -- Compiling architecture iddr_v of iddr -- Compiling entity idelay -- Compiling architecture idelay_v of idelay -- Compiling entity idelayctrl -- Compiling architecture idelayctrl_v of idelayctrl -- Compiling entity bscntrl -- Compiling architecture bscntrl_v of bscntrl -- Compiling entity ice_module -- Compiling architecture ice_v of ice_module -- Compiling entity iserdes -- Compiling architecture iserdes_v of iserdes -- Compiling entity jtag_sim_virtex4_submod -- Compiling architecture jtag_sim_virtex4_submod_v of jtag_sim_virtex4_submod -- Compiling entity jtag_sim_virtex4 -- Compiling architecture jtag_sim_virtex4_v of jtag_sim_virtex4 -- Compiling entity oddr -- Compiling architecture oddr_v of oddr -- Compiling entity plg -- Compiling architecture plg_v of plg -- Compiling entity ioout -- Compiling architecture ioout_v of ioout -- Compiling entity iot -- Compiling architecture iot_v of iot -- Compiling entity oserdes -- Compiling architecture oserdes_v of oserdes -- Compiling entity pmcd -- Compiling architecture pmcd_v of pmcd -- Compiling entity ramb16 -- Compiling architecture ramb16_v of ramb16 -- Loading package standard -- Loading package std_logic_1164 -- Loading package textio -- Loading package vital_timing -- Loading package vital_primitives -- Loading package vpkg -- Loading package vcomponents -- Compiling entity ramb32_s64_ecc -- Compiling architecture ramb32_s64_ecc_v of ramb32_s64_ecc -- Compiling entity startbuf_virtex4 -- Compiling architecture startbuf_virtex4_v of startbuf_virtex4 -- Compiling entity startup_virtex4 -- Compiling architecture startup_virtex4_v of startup_virtex4 -- Compiling entity usr_access_virtex4 -- Compiling architecture usr_access_virtex4_v of usr_access_virtex4 -- Compiling entity iddr2 -- Compiling architecture iddr2_v of iddr2 -- Loading package std_logic_arith -- Loading package std_logic_signed -- Compiling entity mult18x18sio -- Compiling architecture mult18x18sio_v of mult18x18sio -- Compiling entity oddr2 -- Compiling architecture oddr2_v of oddr2 -- Compiling entity startup_spartan3e -- Compiling architecture startup_spartan3e_v of startup_spartan3e "END_COMPILE" ============================================================================== > Log file C:\DESIGNS\FPGA\SimLib\unisim\cxl_unisim.log generated > Library mapping successful, setup file(s) modelsim.ini updated compxlib[unisim]: No error(s), no warning(s) --> Compiling vhdl simprim library > Simprim compiled to C:\DESIGNS\FPGA\SimLib\simprim ============================================================================== "START_COMPILE" Modifying modelsim.ini Model Technology ModelSim ALTERA vcom 6.1d Compiler 2006.01 Jan 23 2006 -- Loading package standard -- Loading package std_logic_1164 -- Loading package vital_timing -- Compiling package vcomponents Model Technology ModelSim ALTERA vcom 6.1d Compiler 2006.01 Jan 23 2006 -- Loading package standard -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Compiling package vpackage -- Compiling package body vpackage -- Loading package vpackage Model Technology ModelSim ALTERA vcom 6.1d Compiler 2006.01 Jan 23 2006 -- Loading package standard -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Compiling entity x_and16 -- Compiling architecture x_and16_v of x_and16 -- Compiling entity x_and2 -- Compiling architecture x_and2_v of x_and2 -- Compiling entity x_and3 -- Compiling architecture x_and3_v of x_and3 -- Compiling entity x_and32 -- Compiling architecture x_and32_v of x_and32 -- Compiling entity x_and4 -- Compiling architecture x_and4_v of x_and4 -- Compiling entity x_and5 -- Compiling architecture x_and5_v of x_and5 -- Compiling entity x_and6 -- Compiling architecture x_and6_v of x_and6 -- Compiling entity x_and7 -- Compiling architecture x_and7_v of x_and7 -- Compiling entity x_and8 -- Compiling architecture x_and8_v of x_and8 -- Compiling entity x_and9 -- Compiling architecture x_and9_v of x_and9 -- Compiling entity x_bpad -- Compiling architecture x_bpad_v of x_bpad -- Compiling entity x_bscan_fpgacore -- Compiling architecture x_bscan_fpgacore_v of x_bscan_fpgacore -- Compiling entity x_bscan_spartan2 -- Compiling architecture x_bscan_spartan2_v of x_bscan_spartan2 -- Compiling entity x_bscan_spartan3 -- Compiling architecture x_bscan_spartan3_v of x_bscan_spartan3 -- Compiling entity x_bscan_virtex -- Compiling architecture x_bscan_virtex_v of x_bscan_virtex -- Compiling entity x_bscan_virtex2 -- Compiling architecture x_bscan_virtex2_v of x_bscan_virtex2 -- Loading package textio -- Loading package vpackage -- Compiling entity x_buf -- Compiling architecture x_buf_v of x_buf -- Loading package vcomponents -- Compiling entity x_bufgmux -- Compiling architecture x_bufgmux_v of x_bufgmux -- Loading package vcomponents -- Compiling entity x_bufgmux_1 -- Compiling architecture x_bufgmux_1_v of x_bufgmux_1 -- Compiling entity x_ckbuf -- Compiling architecture x_ckbuf_v of x_ckbuf -- Compiling entity x_clk_div -- Compiling architecture x_clk_div_v of x_clk_div -- Compiling entity x_clkdll_maximum_period_check -- Compiling architecture x_clkdll_maximum_period_check_v of x_clkdll_maximum_period_check -- Loading package vcomponents -- Compiling entity x_clkdll -- Loading package standard -- Compiling architecture x_clkdll_v of x_clkdll -- Loading package std_logic_1164 -- Loading package textio -- Loading package vital_timing -- Loading package vital_primitives -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_clkdll -- Compiling entity x_clkdlle_maximum_period_check -- Compiling architecture x_clkdlle_maximum_period_check_v of x_clkdlle_maximum_period_check -- Compiling entity x_clkdlle -- Compiling architecture x_clkdlle_v of x_clkdlle -- Compiling entity x_dcm_clock_divide_by_2 -- Compiling architecture x_dcm_clock_divide_by_2_v of x_dcm_clock_divide_by_2 -- Compiling entity x_dcm_maximum_period_check -- Compiling architecture x_dcm_maximum_period_check_v of x_dcm_maximum_period_check -- Compiling entity x_dcm_clock_lost -- Compiling architecture x_dcm_clock_lost_v of x_dcm_clock_lost -- Compiling entity x_dcm -- Compiling architecture x_dcm_v of x_dcm -- Compiling entity x_fdd -- Compiling architecture x_fdd_v of x_fdd -- Compiling entity x_fddrcpe -- Compiling architecture x_fddrcpe_v of x_fddrcpe -- Loading package vcomponents -- Compiling entity x_fddrrse -- Compiling architecture x_fddrrse_v of x_fddrrse -- Loading package vcomponents -- Compiling entity x_ff -- Loading package standard -- Compiling architecture x_ff_v of x_ff -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package vcomponents -- Loading package textio -- Loading package vpackage -- Loading entity x_ff -- Compiling entity x_ibufds -- Compiling architecture x_ibufds_v of x_ibufds -- Compiling entity x_inv -- Compiling architecture x_inv_v of x_inv -- Compiling entity x_ipad -- Compiling architecture x_ipad_v of x_ipad -- Compiling entity x_keeper -- Compiling architecture x_keeper_v of x_keeper -- Compiling entity x_latch -- Compiling architecture x_latch_v of x_latch -- Compiling entity x_latche -- Compiling architecture x_latche_v of x_latche -- Loading package numeric_std -- Compiling entity x_lut2 -- Compiling architecture x_lut2_v of x_lut2 -- Compiling entity x_lut3 -- Compiling architecture x_lut3_v of x_lut3 -- Compiling entity x_lut4 -- Compiling architecture x_lut4_v of x_lut4 -- Compiling entity x_lut5 -- Compiling architecture x_lut5_v of x_lut5 -- Compiling entity x_lut6 -- Compiling architecture x_lut6_v of x_lut6 -- Compiling entity x_lut7 -- Compiling architecture x_lut7_v of x_lut7 -- Compiling entity x_lut8 -- Compiling architecture x_lut8_v of x_lut8 -- Compiling entity x_mult18x18 -- Compiling architecture x_mult18x18_v of x_mult18x18 -- Compiling entity x_mult18x18s -- Compiling architecture x_mult18x18s_v of x_mult18x18s -- Compiling entity x_mux2 -- Compiling architecture x_mux2_v of x_mux2 -- Compiling entity x_muxddr -- Compiling architecture x_muxddr_v of x_muxddr -- Loading package vcomponents -- Compiling entity x_obuf -- Compiling architecture x_obuf_v of x_obuf -- Compiling entity x_obufds -- Compiling architecture x_obufds_v of x_obufds -- Compiling entity x_obuft -- Compiling architecture x_obuft_v of x_obuft -- Compiling entity x_obuftds -- Compiling architecture x_obuftds_v of x_obuftds -- Compiling entity x_one -- Compiling architecture x_one_v of x_one -- Compiling entity x_opad -- Compiling architecture x_opad_v of x_opad -- Compiling entity x_or16 -- Compiling architecture x_or16_v of x_or16 -- Compiling entity x_or2 -- Compiling architecture x_or2_v of x_or2 -- Compiling entity x_or3 -- Compiling architecture x_or3_v of x_or3 -- Compiling entity x_or32 -- Compiling architecture x_or32_v of x_or32 -- Compiling entity x_or4 -- Compiling architecture x_or4_v of x_or4 -- Compiling entity x_or5 -- Compiling architecture x_or5_v of x_or5 -- Compiling entity x_or6 -- Compiling architecture x_or6_v of x_or6 -- Compiling entity x_or7 -- Compiling architecture x_or7_v of x_or7 -- Compiling entity x_or8 -- Compiling architecture x_or8_v of x_or8 -- Compiling entity x_or9 -- Compiling architecture x_or9_v of x_or9 -- Compiling entity x_pd -- Compiling architecture x_pd_v of x_pd -- Compiling entity x_pu -- Compiling architecture x_pu_v of x_pu -- Compiling entity x_ramb16_s1 -- Compiling architecture x_ramb16_s1_v of x_ramb16_s1 -- Loading package vcomponents -- Compiling entity x_ramb16_s18 -- Loading package standard -- Compiling architecture x_ramb16_s18_v of x_ramb16_s18 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s18 -- Loading package vcomponents -- Compiling entity x_ramb16_s18_s18 -- Compiling architecture x_ramb16_s18_s18_v of x_ramb16_s18_s18 -- Loading package vcomponents -- Compiling entity x_ramb16_s18_s36 -- Loading package standard -- Compiling architecture x_ramb16_s18_s36_v of x_ramb16_s18_s36 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s18_s36 -- Loading package vcomponents -- Compiling entity x_ramb16_s1_s1 -- Compiling architecture x_ramb16_s1_s1_v of x_ramb16_s1_s1 -- Loading package vcomponents -- Compiling entity x_ramb16_s1_s18 -- Loading package standard -- Compiling architecture x_ramb16_s1_s18_v of x_ramb16_s1_s18 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s1_s18 -- Loading package vcomponents -- Compiling entity x_ramb16_s1_s2 -- Compiling architecture x_ramb16_s1_s2_v of x_ramb16_s1_s2 -- Loading package vcomponents -- Compiling entity x_ramb16_s1_s36 -- Loading package standard -- Compiling architecture x_ramb16_s1_s36_v of x_ramb16_s1_s36 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s1_s36 -- Loading package vcomponents -- Compiling entity x_ramb16_s1_s4 -- Compiling architecture x_ramb16_s1_s4_v of x_ramb16_s1_s4 -- Loading package vcomponents -- Compiling entity x_ramb16_s1_s9 -- Loading package standard -- Compiling architecture x_ramb16_s1_s9_v of x_ramb16_s1_s9 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s1_s9 -- Loading package vcomponents -- Compiling entity x_ramb16_s2 -- Compiling architecture x_ramb16_s2_v of x_ramb16_s2 -- Loading package vcomponents -- Compiling entity x_ramb16_s2_s18 -- Loading package standard -- Compiling architecture x_ramb16_s2_s18_v of x_ramb16_s2_s18 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s2_s18 -- Loading package vcomponents -- Compiling entity x_ramb16_s2_s2 -- Compiling architecture x_ramb16_s2_s2_v of x_ramb16_s2_s2 -- Loading package vcomponents -- Compiling entity x_ramb16_s2_s36 -- Loading package standard -- Compiling architecture x_ramb16_s2_s36_v of x_ramb16_s2_s36 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s2_s36 -- Loading package vcomponents -- Compiling entity x_ramb16_s2_s4 -- Compiling architecture x_ramb16_s2_s4_v of x_ramb16_s2_s4 -- Loading package vcomponents -- Compiling entity x_ramb16_s2_s9 -- Loading package standard -- Compiling architecture x_ramb16_s2_s9_v of x_ramb16_s2_s9 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s2_s9 -- Loading package vcomponents -- Compiling entity x_ramb16_s36 -- Compiling architecture x_ramb16_s36_v of x_ramb16_s36 -- Loading package vcomponents -- Compiling entity x_ramb16_s36_s36 -- Loading package standard -- Compiling architecture x_ramb16_s36_s36_v of x_ramb16_s36_s36 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s36_s36 -- Loading package vcomponents -- Compiling entity x_ramb16_s4 -- Compiling architecture x_ramb16_s4_v of x_ramb16_s4 -- Loading package vcomponents -- Compiling entity x_ramb16_s4_s18 -- Loading package standard -- Compiling architecture x_ramb16_s4_s18_v of x_ramb16_s4_s18 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s4_s18 -- Loading package vcomponents -- Compiling entity x_ramb16_s4_s36 -- Compiling architecture x_ramb16_s4_s36_v of x_ramb16_s4_s36 -- Loading package vcomponents -- Compiling entity x_ramb16_s4_s4 -- Loading package standard -- Compiling architecture x_ramb16_s4_s4_v of x_ramb16_s4_s4 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s4_s4 -- Loading package vcomponents -- Compiling entity x_ramb16_s4_s9 -- Compiling architecture x_ramb16_s4_s9_v of x_ramb16_s4_s9 -- Loading package vcomponents -- Compiling entity x_ramb16_s9 -- Loading package standard -- Compiling architecture x_ramb16_s9_v of x_ramb16_s9 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s9 -- Loading package vcomponents -- Compiling entity x_ramb16_s9_s18 -- Compiling architecture x_ramb16_s9_s18_v of x_ramb16_s9_s18 -- Loading package vcomponents -- Compiling entity x_ramb16_s9_s36 -- Loading package standard -- Compiling architecture x_ramb16_s9_s36_v of x_ramb16_s9_s36 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb16_s9_s36 -- Loading package vcomponents -- Compiling entity x_ramb16_s9_s9 -- Compiling architecture x_ramb16_s9_s9_v of x_ramb16_s9_s9 -- Loading package vcomponents -- Compiling entity x_ramb4_s1 -- Loading package standard -- Compiling architecture x_ramb4_s1_v of x_ramb4_s1 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb4_s1 -- Loading package vcomponents -- Compiling entity x_ramb4_s16 -- Compiling architecture x_ramb4_s16_v of x_ramb4_s16 -- Loading package vcomponents -- Compiling entity x_ramb4_s16_s16 -- Loading package standard -- Compiling architecture x_ramb4_s16_s16_v of x_ramb4_s16_s16 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb4_s16_s16 -- Loading package vcomponents -- Compiling entity x_ramb4_s1_s1 -- Compiling architecture x_ramb4_s1_s1_v of x_ramb4_s1_s1 -- Loading package vcomponents -- Compiling entity x_ramb4_s1_s16 -- Loading package standard -- Compiling architecture x_ramb4_s1_s16_v of x_ramb4_s1_s16 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb4_s1_s16 -- Loading package vcomponents -- Compiling entity x_ramb4_s1_s2 -- Compiling architecture x_ramb4_s1_s2_v of x_ramb4_s1_s2 -- Loading package vcomponents -- Compiling entity x_ramb4_s1_s4 -- Loading package standard -- Compiling architecture x_ramb4_s1_s4_v of x_ramb4_s1_s4 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb4_s1_s4 -- Loading package vcomponents -- Compiling entity x_ramb4_s1_s8 -- Compiling architecture x_ramb4_s1_s8_v of x_ramb4_s1_s8 -- Loading package vcomponents -- Compiling entity x_ramb4_s2 -- Loading package standard -- Compiling architecture x_ramb4_s2_v of x_ramb4_s2 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb4_s2 -- Loading package vcomponents -- Compiling entity x_ramb4_s2_s16 -- Compiling architecture x_ramb4_s2_s16_v of x_ramb4_s2_s16 -- Loading package vcomponents -- Compiling entity x_ramb4_s2_s2 -- Loading package standard -- Compiling architecture x_ramb4_s2_s2_v of x_ramb4_s2_s2 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb4_s2_s2 -- Loading package vcomponents -- Compiling entity x_ramb4_s2_s4 -- Compiling architecture x_ramb4_s2_s4_v of x_ramb4_s2_s4 -- Loading package vcomponents -- Compiling entity x_ramb4_s2_s8 -- Loading package standard -- Compiling architecture x_ramb4_s2_s8_v of x_ramb4_s2_s8 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb4_s2_s8 -- Loading package vcomponents -- Compiling entity x_ramb4_s4 -- Compiling architecture x_ramb4_s4_v of x_ramb4_s4 -- Loading package vcomponents -- Compiling entity x_ramb4_s4_s16 -- Loading package standard -- Compiling architecture x_ramb4_s4_s16_v of x_ramb4_s4_s16 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb4_s4_s16 -- Loading package vcomponents -- Compiling entity x_ramb4_s4_s4 -- Compiling architecture x_ramb4_s4_s4_v of x_ramb4_s4_s4 -- Loading package vcomponents -- Compiling entity x_ramb4_s4_s8 -- Loading package standard -- Compiling architecture x_ramb4_s4_s8_v of x_ramb4_s4_s8 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb4_s4_s8 -- Loading package vcomponents -- Compiling entity x_ramb4_s8 -- Compiling architecture x_ramb4_s8_v of x_ramb4_s8 -- Loading package vcomponents -- Compiling entity x_ramb4_s8_s16 -- Loading package standard -- Compiling architecture x_ramb4_s8_s16_v of x_ramb4_s8_s16 -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_ramb4_s8_s16 -- Loading package vcomponents -- Compiling entity x_ramb4_s8_s8 -- Compiling architecture x_ramb4_s8_s8_v of x_ramb4_s8_s8 -- Compiling entity x_ramd16 -- Compiling architecture x_ramd16_v of x_ramd16 -- Compiling entity x_ramd32 -- Compiling architecture x_ramd32_v of x_ramd32 -- Compiling entity x_ramd64 -- Compiling architecture x_ramd64_v of x_ramd64 -- Compiling entity x_rams128 -- Compiling architecture x_rams128_v of x_rams128 -- Compiling entity x_rams16 -- Compiling architecture x_rams16_v of x_rams16 -- Compiling entity x_rams32 -- Compiling architecture x_rams32_v of x_rams32 -- Compiling entity x_rams64 -- Compiling architecture x_rams64_v of x_rams64 -- Compiling entity x_roc -- Compiling architecture x_roc_v of x_roc -- Compiling entity x_rocbuf -- Compiling architecture x_rocbuf_v of x_rocbuf -- Loading package vcomponents -- Compiling entity x_sff -- Loading package standard -- Compiling architecture x_sff_v of x_sff -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vital_primitives -- Loading package vcomponents -- Loading package textio -- Loading package vpackage -- Loading entity x_sff -- Compiling entity x_srl16e -- Compiling architecture x_srl16e_v of x_srl16e -- Compiling entity x_srlc16e -- Compiling architecture x_srlc16e_v of x_srlc16e -- Compiling entity x_suh -- Compiling architecture x_suh_v of x_suh -- Compiling entity x_toc -- Compiling architecture x_toc_v of x_toc -- Compiling entity x_tocbuf -- Compiling architecture x_tocbuf_v of x_tocbuf -- Compiling entity x_tri -- Compiling architecture x_tri_v of x_tri -- Compiling entity x_upad -- Compiling architecture x_upad_v of x_upad -- Compiling entity x_xor16 -- Compiling architecture x_xor16_v of x_xor16 -- Compiling entity x_xor2 -- Compiling architecture x_xor2_v of x_xor2 -- Compiling entity x_xor3 -- Compiling architecture x_xor3_v of x_xor3 -- Compiling entity x_xor32 -- Compiling architecture x_xor32_v of x_xor32 -- Compiling entity x_xor4 -- Compiling architecture x_xor4_v of x_xor4 -- Compiling entity x_xor5 -- Compiling architecture x_xor5_v of x_xor5 -- Compiling entity x_xor6 -- Compiling architecture x_xor6_v of x_xor6 -- Compiling entity x_xor7 -- Compiling architecture x_xor7_v of x_xor7 -- Compiling entity x_xor8 -- Compiling architecture x_xor8_v of x_xor8 -- Compiling entity x_zero -- Compiling architecture x_zero_v of x_zero -- Compiling entity x_bscan_virtex4 -- Compiling architecture x_bscan_virtex4_v of x_bscan_virtex4 -- Compiling entity x_bufgctrl -- Compiling architecture x_bufgctrl_v of x_bufgctrl -- Loading package vcomponents -- Compiling entity x_bufr -- Compiling architecture x_bufr_v of x_bufr -- Compiling entity x_dcm_adv_clock_divide_by_2 -- Compiling architecture x_dcm_adv_clock_divide_by_2_v of x_dcm_adv_clock_divide_by_2 -- Compiling entity x_dcm_adv_maximum_period_check -- Compiling architecture x_dcm_adv_maximum_period_check_v of x_dcm_adv_maximum_period_check -- Compiling entity x_dcm_adv_clock_lost -- Compiling architecture x_dcm_adv_clock_lost_v of x_dcm_adv_clock_lost -- Loading package vcomponents -- Compiling entity x_dcm_adv -- Loading package standard -- Compiling architecture x_dcm_adv_v of x_dcm_adv -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package textio -- Loading package vital_primitives -- Loading package vpackage -- Loading package vcomponents -- Loading entity x_dcm_adv -- Loading package std_logic_arith -- Loading package std_logic_signed -- Compiling entity x_dsp48 -- Compiling architecture x_dsp48_v of x_dsp48 -- Loading package standard -- Loading package std_logic_1164 -- Loading package std_logic_arith -- Loading package textio -- Loading package vital_timing -- Loading package vcomponents -- Loading package vital_primitives -- Loading package vpackage -- Compiling entity x_fifo16 -- Compiling architecture x_fifo16_v of x_fifo16 -- Compiling entity x_gt11clk -- Compiling architecture x_gt11clk_v of x_gt11clk -- Loading package vcomponents -- Compiling entity x_iddr -- Compiling architecture x_iddr_v of x_iddr -- Loading package vcomponents -- Compiling entity x_idelay -- Loading package standard -- Compiling architecture x_idelay_v of x_idelay -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vcomponents -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading entity x_idelay -- Compiling entity x_idelayctrl -- Compiling architecture x_idelayctrl_v of x_idelayctrl -- Loading package std_logic_arith -- Loading package vcomponents -- Compiling entity bscntrl -- Compiling architecture bscntrl_v of bscntrl -- Compiling entity ice_module -- Compiling architecture ice_v of ice_module -- Compiling entity x_iserdes -- Compiling architecture x_iserdes_v of x_iserdes -- Loading package vcomponents -- Compiling entity x_oddr -- Loading package standard -- Compiling architecture x_oddr_v of x_oddr -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vcomponents -- Loading package vital_primitives -- Loading package textio -- Loading package vpackage -- Loading entity x_oddr -- Loading package std_logic_arith -- Compiling entity x_plg -- Compiling architecture x_plg_v of x_plg -- Loading package vcomponents -- Compiling entity x_ioout -- Compiling architecture x_ioout_v of x_ioout -- Compiling entity x_iot -- Compiling architecture x_iot_v of x_iot -- Compiling entity x_oserdes -- Compiling architecture x_oserdes_v of x_oserdes -- Compiling entity x_pmcd -- Compiling architecture x_pmcd_v of x_pmcd -- Loading package vcomponents -- Compiling entity x_ramb16 -- Loading package standard -- Compiling architecture x_ramb16_v of x_ramb16 -- Loading package std_logic_1164 -- Loading package textio -- Loading package vital_timing -- Loading package vcomponents -- Loading package vital_primitives -- Loading package vpackage -- Loading entity x_ramb16 -- Loading package vcomponents -- Compiling entity x_iddr2 -- Compiling architecture x_iddr2_v of x_iddr2 -- Loading package std_logic_arith -- Loading package std_logic_signed -- Loading package vcomponents -- Compiling entity x_mult18x18sio -- Loading package standard -- Compiling architecture x_mult18x18sio_v of x_mult18x18sio -- Loading package std_logic_1164 -- Loading package std_logic_arith -- Loading package std_logic_signed -- Loading package vital_timing -- Loading package vital_primitives -- Loading package vcomponents -- Loading package textio -- Loading package vpackage -- Loading entity x_mult18x18sio -- Loading package vcomponents -- Compiling entity x_oddr2 -- Compiling architecture x_oddr2_v of x_oddr2 "END_COMPILE" ============================================================================== > Log file C:\DESIGNS\FPGA\SimLib\simprim\cxl_simprim.log generated > Library mapping successful, setup file(s) modelsim.ini updated compxlib[simprim]: No error(s), no warning(s) --> Compiling vhdl XilinxCoreLib library > XilinxCoreLib compiled to C:\DESIGNS\FPGA\SimLib\XilinxCoreLib ============================================================================== "START_COMPILE" Modifying modelsim.ini Modifying modelsim.ini Model Technology ModelSim ALTERA vcom 6.1d Compiler 2006.01 Jan 23 2006 -- Loading package standard -- Loading package textio -- Loading package std_logic_1164 -- Compiling package iputils_conv -- Compiling package body iputils_conv -- Loading package iputils_conv -- Compiling package iputils_misc -- Compiling package body iputils_misc -- Loading package iputils_misc -- Loading package std_logic_arith -- Loading package std_logic_unsigned -- Loading package iputils_conv -- Loading package iputils_misc -- Compiling entity fifo_generator_v2_1_bhv_as -- Compiling architecture behavioral of fifo_generator_v2_1_bhv_as -- Compiling entity fifo_generator_v2_1_bhv_ss -- Compiling architecture behavioral of fifo_generator_v2_1_bhv_ss -- Compiling entity fifo_generator_v2_1_bhv_fifo16 -- Compiling architecture behavioral of fifo_generator_v2_1_bhv_fifo16 -- Compiling entity fifo_generator_v2_1_bhv_preload0 -- Compiling architecture behavioral of fifo_generator_v2_1_bhv_preload0 -- Loading entity fifo_generator_v2_1_bhv_as -- Loading entity fifo_generator_v2_1_bhv_ss -- Loading entity fifo_generator_v2_1_bhv_fifo16 -- Compiling entity fifo_generator_v2_1 -- Compiling architecture behavioral of fifo_generator_v2_1 -- Compiling package fifo_generator_v2_1_comp -- Compiling package prims_constants_v8_0 -- Loading package prims_constants_v8_0 -- Compiling package prims_utils_v8_0 -- Compiling package body prims_utils_v8_0 -- Loading package prims_utils_v8_0 -- Compiling package pkg_baseblox_v8_0 -- Compiling package body pkg_baseblox_v8_0 -- Loading package pkg_baseblox_v8_0 -- Loading package prims_utils_v8_0 -- Loading package pkg_baseblox_v8_0 -- Compiling entity c_reg_fd_v8_0 -- Compiling architecture behavioral of c_reg_fd_v8_0 -- Compiling package c_reg_fd_v8_0_comp -- Loading package numeric_std -- Loading package c_reg_fd_v8_0_comp -- Compiling entity c_shift_ram_v8_0 -- Compiling architecture behavioral of c_shift_ram_v8_0 -- Compiling package c_shift_ram_v8_0_comp -- Loading package c_shift_ram_v8_0_comp -- Compiling entity c_shift_ram_v8_0_xst -- Compiling architecture behavioral of c_shift_ram_v8_0_xst -- Compiling package c_shift_ram_v8_0_xst_comp -- Compiling entity c_mux_bus_v8_0 -- Compiling architecture behavioral of c_mux_bus_v8_0 -- Compiling package c_mux_bus_v8_0_comp -- Loading package c_mux_bus_v8_0_comp -- Compiling entity c_mux_bus_v8_0_xst -- Compiling architecture behavioral of c_mux_bus_v8_0_xst -- Compiling package c_mux_bus_v8_0_xst_comp -- Compiling entity c_addsub_v8_0 -- Compiling architecture behavioral of c_addsub_v8_0 -- Compiling package c_addsub_v8_0_comp -- Compiling entity c_compare_v8_0 -- Compiling architecture behavioral of c_compare_v8_0 -- Compiling package c_compare_v8_0_comp -- Loading package c_addsub_v8_0_comp -- Loading package c_compare_v8_0_comp -- Compiling entity c_counter_binary_v8_0 -- Compiling architecture behavioral of c_counter_binary_v8_0 -- Compiling package c_counter_binary_v8_0_comp -- Loading package c_counter_binary_v8_0_comp -- Compiling entity c_counter_binary_v8_0_xst -- Compiling architecture behavioral of c_counter_binary_v8_0_xst -- Compiling package c_counter_binary_v8_0_xst_comp -- Compiling entity c_compare_v8_0_xst -- Compiling architecture behavioral of c_compare_v8_0_xst -- Compiling package c_compare_v8_0_xst_comp -- Compiling entity c_accum_v8_0 -- Compiling architecture behavioral of c_accum_v8_0 -- Compiling package c_accum_v8_0_comp -- Loading package c_accum_v8_0_comp -- Compiling entity c_accum_v8_0_xst -- Compiling architecture behavioral of c_accum_v8_0_xst -- Compiling package c_accum_v8_0_xst_comp -- Compiling entity c_mux_bit_v8_0 -- Compiling architecture behavioral of c_mux_bit_v8_0 -- Compiling package c_mux_bit_v8_0_comp -- Loading package c_mux_bit_v8_0_comp -- Compiling entity c_shift_fd_v8_0 -- Compiling architecture behavioral of c_shift_fd_v8_0 -- Compiling package c_shift_fd_v8_0_comp -- Loading package c_shift_fd_v8_0_comp -- Compiling entity c_shift_fd_v8_0_xst -- Compiling architecture behavioral of c_shift_fd_v8_0_xst -- Compiling package c_shift_fd_v8_0_xst_comp -- Compiling entity c_mux_bit_v8_0_xst -- Compiling architecture behavioral of c_mux_bit_v8_0_xst -- Compiling package c_mux_bit_v8_0_xst_comp -- Compiling entity c_gate_bit_v8_0 -- Compiling architecture behavioral of c_gate_bit_v8_0 -- Compiling package c_gate_bit_v8_0_comp -- Loading package c_gate_bit_v8_0_comp -- Compiling entity c_gate_bit_v8_0_xst -- Compiling architecture behavioral of c_gate_bit_v8_0_xst -- Compiling package c_gate_bit_v8_0_xst_comp -- Compiling entity c_addsub_v8_0_xst -- Compiling architecture behavioral of c_addsub_v8_0_xst -- Compiling package c_addsub_v8_0_xst_comp -- Compiling entity c_twos_comp_v8_0 -- Compiling architecture behavioral of c_twos_comp_v8_0 -- Compiling package c_twos_comp_v8_0_comp -- Loading package c_twos_comp_v8_0_comp -- Compiling entity c_twos_comp_v8_0_xst -- Compiling architecture behavioral of c_twos_comp_v8_0_xst -- Compiling package c_twos_comp_v8_0_xst_comp -- Compiling entity c_reg_fd_v8_0_xst -- Compiling architecture behavioral of c_reg_fd_v8_0_xst -- Compiling package c_reg_fd_v8_0_xst_comp -- Compiling entity c_lut_v8_0 -- Compiling architecture behavioral of c_lut_v8_0 -- Compiling package c_lut_v8_0_comp -- Loading package c_lut_v8_0_comp -- Compiling entity c_lut_v8_0_xst -- Compiling architecture behavioural of c_lut_v8_0_xst -- Compiling package c_lut_v8_0_xst_comp -- Compiling entity dist_mem_gen_v1_1 -- Compiling architecture behavioral of dist_mem_gen_v1_1 -- Compiling package dist_mem_gen_v1_1_comp -- Loading package dist_mem_gen_v1_1_comp -- Compiling entity dist_mem_gen_v1_1_xst -- Compiling architecture behavioral of dist_mem_gen_v1_1_xst -- Compiling package dist_mem_gen_v1_1_xst_comp -- Compiling package blkmemsp_pkg_v6_2 -- Compiling package body blkmemsp_pkg_v6_2 -- Loading package blkmemsp_pkg_v6_2 -- Compiling package ul_utils -- Compiling package body ul_utils -- Loading package ul_utils -- Compiling package math_int -- Compiling package body math_int -- Loading package math_int -- Loading package ul_utils -- Compiling package mem_init_file_pack_v6_2 -- Compiling package body mem_init_file_pack_v6_2 -- Loading package mem_init_file_pack_v6_2 -- Loading package mem_init_file_pack_v6_2 -- Loading package blkmemsp_pkg_v6_2 -- Compiling entity blkmemsp_v6_2 -- Compiling architecture behavioral of blkmemsp_v6_2 -- Compiling package blkmemsp_v6_2_comp -- Loading package blkmemsp_v6_2_comp -- Compiling entity blkmemsp_v6_2_xst -- Compiling architecture xilinx of blkmemsp_v6_2_xst -- Compiling package blkmemsp_v6_2_xst_comp -- Compiling package blkmemdp_pkg_v6_2 -- Compiling package body blkmemdp_pkg_v6_2 -- Loading package blkmemdp_pkg_v6_2 -- Compiling package blkmemdp_mem_init_file_pack_v6_2 -- Compiling package body blkmemdp_mem_init_file_pack_v6_2 -- Loading package blkmemdp_mem_init_file_pack_v6_2 -- Loading package vital_timing -- Loading package blkmemdp_mem_init_file_pack_v6_2 -- Loading package blkmemdp_pkg_v6_2 -- Compiling entity blkmemdp_v6_2 -- Compiling architecture behavioral of blkmemdp_v6_2 -- Compiling package blkmemdp_v6_2_comp -- Loading package blkmemdp_v6_2_comp -- Compiling entity blkmemdp_v6_2_xst -- Compiling architecture xilinx of blkmemdp_v6_2_xst -- Compiling package blkmemdp_v6_2_xst_comp -- Compiling package blkmemdp_v6_2_services -- Compiling package body blkmemdp_v6_2_services -- Loading package blkmemdp_v6_2_services -- Compiling package prims_constants_v4_0 -- Compiling package prims_utils_v4_0 -- Loading package prims_constants_v4_0 -- Compiling package body prims_utils_v4_0 -- Loading package prims_utils_v4_0 -- Loading package prims_utils_v4_0 -- Compiling entity pipeline_v4_0 -- Compiling architecture behavioral of pipeline_v4_0 -- Compiling entity lfsr_v2_0_dvunit_bhv -- Compiling architecture xilinx of lfsr_v2_0_dvunit_bhv -- Compiling entity lfsr_v2_0 -- Compiling architecture behavioral of lfsr_v2_0 -- Compiling package lfsr_v2_0_comp -- Compiling package sync_fifo_pkg_v3_0 -- Compiling package body sync_fifo_pkg_v3_0 -- Loading package sync_fifo_pkg_v3_0 -- Loading package sync_fifo_pkg_v3_0 -- Compiling entity sync_fifo_v3_0 -- Compiling architecture behavioral of sync_fifo_v3_0 -- Compiling package sync_fifo_v3_0_comp -- Compiling entity async_fifo_v6_1 -- Compiling architecture behavioral of async_fifo_v6_1 -- Compiling package async_fifo_v6_1_comp -- Compiling package sync_fifo_pkg_v5_0 -- Compiling package body sync_fifo_pkg_v5_0 -- Loading package sync_fifo_pkg_v5_0 -- Compiling package iputils_math -- Compiling package body iputils_math -- Loading package iputils_math -- Loading package sync_fifo_pkg_v5_0 -- Loading package iputils_math -- Compiling entity sync_fifo_v5_0 -- Compiling architecture behavioral of sync_fifo_v5_0 -- Compiling package sync_fifo_v5_0_comp -- Loading package sync_fifo_v5_0_comp -- Compiling entity sync_fifo_v5_0_xst -- Compiling architecture xilinx of sync_fifo_v5_0_xst -- Compiling package sync_fifo_v5_0_xst_comp -- Compiling entity async_fifo_v6_0 -- Compiling architecture behavioral of async_fifo_v6_0 -- Compiling package async_fifo_v6_0_comp -- Compiling entity async_fifo_v5_1 -- Compiling architecture behavioral of async_fifo_v5_1 -- Compiling package async_fifo_v5_1_comp -- Compiling package iputils_mem87 -- Compiling package body iputils_mem87 -- Loading package iputils_mem87 -- Compiling package iputils_slv -- Compiling package body iputils_slv -- Loading package iputils_slv -- Compiling package cam_v4_0_pkg -- Compiling package body cam_v4_0_pkg -- Loading package cam_v4_0_pkg -- Loading package cam_v4_0_pkg -- Loading package iputils_mem87 -- Loading package iputils_slv -- Compiling entity cam_v4_0 -- Compiling architecture behavioral of cam_v4_0 -- Compiling package cam_v4_0_comp -- Compiling package sync_fifo_pkg_v4_0 -- Compiling package body sync_fifo_pkg_v4_0 -- Loading package sync_fifo_pkg_v4_0 -- Loading package sync_fifo_pkg_v4_0 -- Compiling entity sync_fifo_v4_0 -- Compiling architecture behavioral of sync_fifo_v4_0 -- Compiling package sync_fifo_v4_0_comp -- Compiling entity lfsr_v3_0_dvunit_bhv -- Compiling architecture xilinx of lfsr_v3_0_dvunit_bhv -- Compiling entity lfsr_v3_0 -- Compiling architecture behavioral of lfsr_v3_0 -- Compiling package lfsr_v3_0_comp -- Compiling entity async_fifo_v5_0 -- Compiling architecture behavioral of async_fifo_v5_0 -- Compiling package async_fifo_v5_0_comp -- Compiling package mem_init_file_pack_v5_0 -- Compiling package body mem_init_file_pack_v5_0 -- Loading package mem_init_file_pack_v5_0 -- Compiling entity c_reg_fd_v4_0 -- Compiling architecture behavioral of c_reg_fd_v4_0 -- Compiling package c_reg_fd_v4_0_comp -- Loading package mem_init_file_pack_v5_0 -- Loading package c_reg_fd_v4_0_comp -- Compiling entity c_dist_mem_v5_0 -- Compiling architecture behavioral of c_dist_mem_v5_0 -- Compiling package c_dist_mem_v5_0_comp -- Compiling package blkmemdp_pkg_v4_0 -- Compiling package body blkmemdp_pkg_v4_0 -- Loading package blkmemdp_pkg_v4_0 -- Compiling package mem_init_file_pack_v4_0 -- Compiling package body mem_init_file_pack_v4_0 -- Loading package mem_init_file_pack_v4_0 -- Loading package mem_init_file_pack_v4_0 -- Loading package blkmemdp_pkg_v4_0 -- Compiling entity blkmemdp_v4_0 -- Compiling architecture behavioral of blkmemdp_v4_0 -- Compiling package blkmemdp_v4_0_comp -- Compiling entity c_addsub_v4_0 -- Compiling architecture behavioral of c_addsub_v4_0 -- Compiling package c_addsub_v4_0_comp -- Compiling entity c_compare_v4_0 -- Compiling architecture behavioral of c_compare_v4_0 -- Compiling package c_compare_v4_0_comp -- Compiling entity c_mux_bus_v4_0 -- Compiling architecture behavioral of c_mux_bus_v4_0 -- Compiling package c_mux_bus_v4_0_comp -- Loading package c_addsub_v4_0_comp -- Loading package c_compare_v4_0_comp -- Loading package c_mux_bus_v4_0_comp -- Compiling entity c_counter_binary_v4_0 -- Compiling architecture behavioral of c_counter_binary_v4_0 -- Compiling package c_counter_binary_v4_0_comp -- Compiling entity c_gate_bus_v4_0 -- Compiling architecture behavioral of c_gate_bus_v4_0 -- Compiling package c_gate_bus_v4_0_comp -- Compiling entity c_gate_bit_v4_0 -- Compiling architecture behavioral of c_gate_bit_v4_0 -- Compiling package c_gate_bit_v4_0_comp -- Compiling package prims_comps_v4_0 -- Compiling package async_fifo_v4_0_pkg -- Compiling package body async_fifo_v4_0_pkg -- Loading package async_fifo_v4_0_pkg -- Compiling package async_fifo_v4_0_components -- Loading package c_dist_mem_v5_0_comp -- Loading package blkmemdp_v4_0_comp -- Compiling entity memory_v4 -- Compiling architecture behavioral of memory_v4 -- Compiling entity full_flag_reg_v4 -- Compiling architecture behavioral of full_flag_reg_v4 -- Compiling entity empty_flag_reg_v4 -- Compiling architecture behavioral of empty_flag_reg_v4 -- Compiling entity almst_full_v4 -- Compiling architecture behavioral of almst_full_v4 -- Compiling entity almst_empty_v4 -- Compiling architecture behavioral of almst_empty_v4 -- Loading package c_counter_binary_v4_0_comp -- Compiling entity bcount_up_ainit_v4 -- Compiling architecture behavioral of bcount_up_ainit_v4 -- Loading package c_gate_bus_v4_0_comp -- Compiling entity binary_to_gray_v4 -- Compiling architecture behavioral of binary_to_gray_v4 -- Compiling entity eq_compare_v4 -- Compiling architecture behavioral of eq_compare_v4 -- Compiling entity reg_ainit_v4 -- Compiling architecture behavioral of reg_ainit_v4 -- Loading package c_gate_bit_v4_0_comp -- Compiling entity and_a_b_v4 -- Compiling architecture behavioral of and_a_b_v4 -- Compiling entity or_a_b_v4 -- Compiling architecture behavioral of or_a_b_v4 -- Compiling entity and_a_notb_v4 -- Compiling architecture behavioral of and_a_notb_v4 -- Compiling entity and_a_notb_fd_v4 -- Compiling architecture behavioral of and_a_notb_fd_v4 -- Compiling entity nand_a_notb_fd_v4 -- Compiling architecture behavioral of nand_a_notb_fd_v4 -- Compiling entity and_a_b_notc_v4 -- Compiling architecture behavioral of and_a_b_notc_v4 -- Compiling entity and_a_b_c_notd_v4 -- Compiling architecture behavioral of and_a_b_c_notd_v4 -- Compiling entity or_fd_v4 -- Compiling architecture behavioral of or_fd_v4 -- Compiling entity and_fd_v4 -- Compiling architecture behavioral of and_fd_v4 -- Compiling entity nand_fd_v4 -- Compiling architecture behavioral of nand_fd_v4 -- Compiling entity or3_fd_v4 -- Compiling architecture behavioral of or3_fd_v4 -- Loading package async_fifo_v4_0_components -- Compiling entity count_sub_reg_v4 -- Compiling architecture behavioral of count_sub_reg_v4 -- Compiling entity xor_gate_bit_v4 -- Compiling architecture behavioral of xor_gate_bit_v4 -- Compiling entity gray_to_binary_v4 -- Compiling architecture behavioral of gray_to_binary_v4 -- Compiling entity fifoctlr_ns_v4 -- Compiling architecture behavioral of fifoctlr_ns_v4 -- Loading package prims_comps_v4_0 -- Loading package async_fifo_v4_0_pkg -- Compiling entity async_fifo_v4_0 -- Compiling architecture behavioral of async_fifo_v4_0 -- Compiling package async_fifo_v4_0_comp -- Compiling entity fifo_generator_v2_0_bhv_as -- Compiling architecture behavioral of fifo_generator_v2_0_bhv_as -- Compiling entity fifo_generator_v2_0_bhv_ss -- Compiling architecture behavioral of fifo_generator_v2_0_bhv_ss -- Compiling entity fifo_generator_v2_0_bhv_fifo16 -- Compiling architecture behavioral of fifo_generator_v2_0_bhv_fifo16 -- Loading entity fifo_generator_v2_0_bhv_as -- Loading entity fifo_generator_v2_0_bhv_ss -- Compiling entity fifo_generator_v2_0 -- Compiling architecture behavioral of fifo_generator_v2_0 -- Compiling package fifo_generator_v2_0_comp -- Compiling entity fifo_generator_v1_1_bhv_as -- Compiling architecture behavioral of fifo_generator_v1_1_bhv_as -- Compiling entity fifo_generator_v1_1_bhv_ss -- Compiling architecture behavioral of fifo_generator_v1_1_bhv_ss -- Compiling entity fifo_generator_v1_1_bhv_fifo16 -- Compiling architecture behavioral of fifo_generator_v1_1_bhv_fifo16 -- Loading entity fifo_generator_v1_1_bhv_as -- Loading entity fifo_generator_v1_1_bhv_ss -- Compiling entity fifo_generator_v1_1 -- Compiling architecture behavioral of fifo_generator_v1_1 -- Compiling package fifo_generator_v1_1_comp -- Compiling entity fifo_generator_v1_0_bhv_as -- Compiling architecture behavioral of fifo_generator_v1_0_bhv_as -- Compiling entity fifo_generator_v1_0_bhv_ss -- Compiling architecture behavioral of fifo_generator_v1_0_bhv_ss -- Compiling entity fifo_generator_v1_0_bhv_fifo16 -- Compiling architecture behavioral of fifo_generator_v1_0_bhv_fifo16 -- Loading entity fifo_generator_v1_0_bhv_as -- Loading entity fifo_generator_v1_0_bhv_ss -- Compiling entity fifo_generator_v1_0 -- Compiling architecture behavioral of fifo_generator_v1_0 -- Compiling package fifo_generator_v1_0_comp -- Compiling package cam_v5_1_pkg -- Compiling package body cam_v5_1_pkg -- Loading package cam_v5_1_pkg -- Loading package cam_v5_1_pkg -- Compiling entity cam_v5_1 -- Compiling architecture behavioral of cam_v5_1 -- Compiling package cam_v5_1_comp -- Compiling package cam_v5_0_pkg -- Compiling package body cam_v5_0_pkg -- Loading package cam_v5_0_pkg -- Loading package cam_v5_0_pkg -- Compiling entity cam_v5_0 -- Compiling architecture behavioral of cam_v5_0 -- Compiling package cam_v5_0_comp -- Compiling package blkmemdp_pkg_v6_1 -- Compiling package body blkmemdp_pkg_v6_1 -- Loading package blkmemdp_pkg_v6_1 -- Compiling package blkmemdp_mem_init_file_pack_v6_1 -- Compiling package body blkmemdp_mem_init_file_pack_v6_1 -- Loading package blkmemdp_mem_init_file_pack_v6_1 -- Loading package blkmemdp_mem_init_file_pack_v6_1 -- Loading package blkmemdp_pkg_v6_1 -- Compiling entity blkmemdp_v6_1 -- Compiling architecture behavioral of blkmemdp_v6_1 -- Compiling package blkmemdp_v6_1_comp -- Loading package blkmemdp_v6_1_comp -- Compiling entity blkmemdp_v6_1_xst -- Compiling architecture xilinx of blkmemdp_v6_1_xst -- Compiling package blkmemdp_v6_1_xst_comp -- Compiling package blkmemdp_v6_1_services -- Compiling package body blkmemdp_v6_1_services -- Loading package blkmemdp_v6_1_services -- Compiling package blkmemsp_pkg_v6_1 -- Compiling package body blkmemsp_pkg_v6_1 -- Loading package blkmemsp_pkg_v6_1 -- Compiling package mem_init_file_pack_v6_1 -- Compiling package body mem_init_file_pack_v6_1 -- Loading package mem_init_file_pack_v6_1 -- Loading package mem_init_file_pack_v6_1 -- Loading package blkmemsp_pkg_v6_1 -- Compiling entity blkmemsp_v6_1 -- Compiling architecture behavioral of blkmemsp_v6_1 -- Compiling package blkmemsp_v6_1_comp -- Loading package blkmemsp_v6_1_comp -- Compiling entity blkmemsp_v6_1_xst -- Compiling architecture xilinx of blkmemsp_v6_1_xst -- Compiling package blkmemsp_v6_1_xst_comp -- Compiling package blkmemdp_v6_0_services -- Compiling package body blkmemdp_v6_0_services -- Loading package blkmemdp_v6_0_services -- Compiling package blkmemsp_pkg_v6_0 -- Compiling package body blkmemsp_pkg_v6_0 -- Loading package blkmemsp_pkg_v6_0 -- Compiling package mem_init_file_pack_v6_0 -- Compiling package body mem_init_file_pack_v6_0 -- Loading package mem_init_file_pack_v6_0 -- Loading package mem_init_file_pack_v6_0 -- Loading package blkmemsp_pkg_v6_0 -- Compiling entity blkmemsp_v6_0 -- Compiling architecture behavioral of blkmemsp_v6_0 -- Compiling package blkmemsp_v6_0_comp -- Compiling package blkmemdp_v5_0_services -- Compiling package body blkmemdp_v5_0_services -- Loading package blkmemdp_v5_0_services -- Compiling package blkmemsp_pkg_v5_0 -- Compiling package body blkmemsp_pkg_v5_0 -- Loading package blkmemsp_pkg_v5_0 -- Loading package blkmemsp_pkg_v5_0 -- Compiling entity blkmemsp_v5_0 -- Compiling architecture behavioral of blkmemsp_v5_0 -- Compiling package blkmemsp_v5_0_comp -- Compiling package prims_constants_v5_0 -- Compiling package prims_utils_v5_0 -- Loading package prims_constants_v5_0 -- Compiling package body prims_utils_v5_0 -- Loading package prims_utils_v5_0 -- Loading package prims_utils_v5_0 -- Compiling entity pipeline_v5_0 -- Compiling architecture behavioral of pipeline_v5_0 -- Compiling entity c_reg_fd_v5_0 -- Compiling architecture behavioral of c_reg_fd_v5_0 -- Compiling package c_reg_fd_v5_0_comp -- Loading package c_reg_fd_v5_0_comp -- Compiling entity c_dist_mem_v5_1 -- Compiling architecture behavioral of c_dist_mem_v5_1 -- Compiling package c_dist_mem_v5_1_comp -- Compiling package blkmemdp_pkg_v3_2 -- Compiling package body blkmemdp_pkg_v3_2 -- Loading package blkmemdp_pkg_v3_2 -- Compiling package mem_init_file_pack_v3_2 -- Compiling package body mem_init_file_pack_v3_2 -- Loading package mem_init_file_pack_v3_2 -- Loading package mem_init_file_pack_v3_2 -- Loading package blkmemdp_pkg_v3_2 -- Compiling entity blkmemdp_v3_2 -- Compiling architecture behavioral of blkmemdp_v3_2 -- Compiling package blkmemdp_v3_2_comp -- Compiling package blkmemsp_pkg_v3_2 -- Compiling package body blkmemsp_pkg_v3_2 -- Loading package blkmemsp_pkg_v3_2 -- Loading package blkmemsp_pkg_v3_2 -- Compiling entity blkmemsp_v3_2 -- Compiling architecture behavioral of blkmemsp_v3_2 -- Compiling package blkmemsp_v3_2_comp -- Compiling package blkmemdp_v4_0_services -- Compiling package body blkmemdp_v4_0_services -- Loading package blkmemdp_v4_0_services -- Compiling package blkmemsp_pkg_v4_0 -- Compiling package body blkmemsp_pkg_v4_0 -- Loading package blkmemsp_pkg_v4_0 -- Loading package blkmemsp_pkg_v4_0 -- Compiling entity blkmemsp_v4_0 -- Compiling architecture behavioral of blkmemsp_v4_0 -- Compiling package blkmemsp_v4_0_comp -- Compiling package iputils_family -- Compiling package body iputils_family -- Loading package iputils_family -- Compiling package prims_constants_v7_0 -- Compiling package prims_utils_v7_0 -- Loading package prims_constants_v7_0 -- Compiling package body prims_utils_v7_0 -- Loading package prims_utils_v7_0 -- Loading package prims_utils_v7_0 -- Compiling entity pipeline_v7_0 -- Compiling architecture behavioral of pipeline_v7_0 -- Compiling entity c_reg_fd_v7_0 -- Compiling architecture behavioral of c_reg_fd_v7_0 -- Compiling package c_reg_fd_v7_0_comp -- Loading package c_reg_fd_v7_0_comp -- Compiling entity c_dist_mem_v7_1 -- Compiling architecture behavioral of c_dist_mem_v7_1 -- Compiling package c_dist_mem_v7_1_comp -- Loading package c_dist_mem_v7_1_comp -- Compiling entity c_dist_mem_v7_1_xst -- Compiling architecture xilinx of c_dist_mem_v7_1_xst -- Compiling package c_dist_mem_v7_1_xst_comp -- Compiling package family -- Compiling package body family -- Loading package family -- Loading package family -- Compiling package c_dist_mem_v7_1_services -- Compiling package body c_dist_mem_v7_1_services -- Loading package c_dist_mem_v7_1_services -- Compiling package c_dist_mem_v7_0_services -- Compiling package body c_dist_mem_v7_0_services -- Loading package c_dist_mem_v7_0_services -- Compiling package prims_constants_v6_0 -- Loading package prims_constants_v6_0 -- Compiling package c_dist_mem_v6_0_services -- Compiling package body c_dist_mem_v6_0_services -- Loading package c_dist_mem_v6_0_services -- Compiling entity c_dist_mem_v4_1 -- Compiling architecture behavioral of c_dist_mem_v4_1 -- Compiling package c_dist_mem_v4_1_comp -- Compiling entity c_dist_mem_v4_0 -- Compiling architecture behavioral of c_dist_mem_v4_0 -- Compiling package c_dist_mem_v4_0_comp -- Compiling package prims_constants_v2_0 -- Compiling package prims_utils_v2_0 -- Loading package prims_constants_v2_0 -- Compiling package body prims_utils_v2_0 -- Loading package prims_utils_v2_0 -- Loading package prims_utils_v2_0 -- Compiling entity pipeline_v2_0 -- Compiling architecture behavioral of pipeline_v2_0 -- Compiling entity c_reg_ld_v2_0 -- Compiling architecture behavioral of c_reg_ld_v2_0 -- Compiling package c_reg_ld_v2_0_comp -- Compiling entity c_mux_slice_buft_v2_0 -- Compiling architecture behavioral of c_mux_slice_buft_v2_0 -- Compiling package c_mux_slice_buft_v2_0_comp -- Compiling entity c_reg_fd_v2_0 -- Compiling architecture behavioral of c_reg_fd_v2_0 -- Compiling package c_reg_fd_v2_0_comp -- Loading package c_reg_fd_v2_0_comp -- Compiling entity c_gate_bit_bus_v2_0 -- Compiling architecture behavioral of c_gate_bit_bus_v2_0 -- Compiling package c_gate_bit_bus_v2_0_comp -- Compiling entity c_shift_ram_v2_0 -- Compiling architecture behavioral of c_shift_ram_v2_0 -- Compiling package c_shift_ram_v2_0_comp -- Compiling package prims_comps_v2_0 -- Loading package prims_comps_v2_0 -- Compiling entity c_lut_v2_0 -- Compiling architecture behavioral of c_lut_v2_0 -- Compiling configuration cfg_beh -- Loading entity c_lut_v2_0 -- Loading architecture behavioral of c_lut_v2_0 -- Compiling entity c_gate_bit_v2_0 -- Compiling architecture behavioral of c_gate_bit_v2_0 -- Compiling package c_gate_bit_v2_0_comp -- Compiling entity c_mux_slice_bufe_v2_0 -- Compiling architecture behavioral of c_mux_slice_bufe_v2_0 -- Compiling package c_mux_slice_bufe_v2_0_comp -- Compiling entity c_twos_comp_v2_0 -- Compiling architecture behavioral of c_twos_comp_v2_0 -- Compiling package c_twos_comp_v2_0_comp -- Compiling entity c_gate_bus_v2_0 -- Compiling architecture behavioral of c_gate_bus_v2_0 -- Compiling package c_gate_bus_v2_0_comp -- Compiling entity c_mux_bit_v2_0 -- Compiling architecture behavioral of c_mux_bit_v2_0 -- Compiling package c_mux_bit_v2_0_comp -- Loading package c_mux_bit_v2_0_comp -- Compiling entity c_shift_fd_v2_0 -- Compiling architecture behavioral of c_shift_fd_v2_0 -- Compiling package c_shift_fd_v2_0_comp -- Compiling entity c_dist_mem_v2_0 -- Compiling architecture behavioral of c_dist_mem_v2_0 -- Compiling package c_dist_mem_v2_0_comp -- Compiling entity c_addsub_v2_0 -- Compiling architecture behavioral of c_addsub_v2_0 -- Compiling package c_addsub_v2_0_comp -- Loading package c_addsub_v2_0_comp -- Compiling entity c_accum_v2_0 -- Compiling architecture behavioral of c_accum_v2_0 -- Compiling package c_accum_v2_0_comp -- Compiling entity c_compare_v2_0 -- Compiling architecture behavioral of c_compare_v2_0 -- Loading package standard -- Loading package std_logic_1164 -- Loading package prims_constants_v2_0 -- Compiling package c_compare_v2_0_comp -- Loading package prims_utils_v2_0 -- Loading package std_logic_arith -- Loading package textio -- Loading package c_reg_fd_v2_0_comp -- Compiling entity c_mux_bus_v2_0 -- Compiling architecture behavioral of c_mux_bus_v2_0 -- Compiling package c_mux_bus_v2_0_comp -- Loading package c_addsub_v2_0_comp -- Loading package c_compare_v2_0_comp -- Loading package c_mux_bus_v2_0_comp -- Compiling entity c_counter_binary_v2_0 -- Compiling architecture behavioral of c_counter_binary_v2_0 -- Compiling package c_counter_binary_v2_0_comp -- Compiling entity c_decode_binary_v2_0 -- Compiling architecture behavioral of c_decode_binary_v2_0 -- Compiling package c_decode_binary_v2_0_comp -- Loading package numeric_std -- Loading package prims_constants_v7_0 -- Loading package prims_utils_v7_0 -- Loading package c_reg_fd_v7_0_comp -- Compiling entity c_addsub_v7_0 -- Compiling architecture behavioral of c_addsub_v7_0 -- Compiling package c_addsub_v7_0_comp -- Compiling entity c_compare_v7_0 -- Compiling architecture behavioral of c_compare_v7_0 -- Compiling package c_compare_v7_0_comp -- Compiling entity c_mux_bus_v7_0 -- Compiling architecture behavioral of c_mux_bus_v7_0 -- Compiling package c_mux_bus_v7_0_comp -- Compiling entity c_gate_bit_v7_0 -- Compiling architecture behavioral of c_gate_bit_v7_0 -- Compiling package c_gate_bit_v7_0_comp -- Loading package c_addsub_v7_0_comp -- Loading package c_compare_v7_0_comp -- Loading package c_mux_bus_v7_0_comp -- Loading package c_gate_bit_v7_0_comp -- Compiling entity c_counter_binary_v7_0 -- Compiling architecture behavioral of c_counter_binary_v7_0 -- Compiling package c_counter_binary_v7_0_comp -- Compiling package baseblox_v7_0_services -- Compiling package body baseblox_v7_0_services -- Loading package baseblox_v7_0_services -- Compiling package prims_comps_v7_0 -- Loading package prims_comps_v7_0 -- Compiling entity c_buft_v7_0 -- Compiling architecture buft_beh of c_buft_v7_0 -- Compiling configuration cfg_buft_beh -- Loading entity c_buft_v7_0 -- Loading architecture buft_beh of c_buft_v7_0 -- Compiling entity c_pullup_v7_0 -- Compiling architecture pullup_beh of c_pullup_v7_0 -- Compiling configuration cfg_pullup_beh -- Loading entity c_pullup_v7_0 -- Loading architecture pullup_beh of c_pullup_v7_0 -- Compiling entity c_lut_v7_0 -- Compiling architecture lut_beh of c_lut_v7_0 -- Compiling configuration cfg_lut_beh -- Loading entity c_lut_v7_0 -- Loading architecture lut_beh of c_lut_v7_0 -- Compiling entity c_mux_slice_buft_v7_0 -- Compiling architecture behavioral of c_mux_slice_buft_v7_0 -- Compiling package c_mux_slice_buft_v7_0_comp -- Compiling entity c_reg_ld_v7_0 -- Compiling architecture behavioral of c_reg_ld_v7_0 -- Compiling package c_reg_ld_v7_0_comp -- Compiling entity c_mux_slice_bufe_v7_0 -- Compiling architecture behavioral of c_mux_slice_bufe_v7_0 -- Compiling package c_mux_slice_bufe_v7_0_comp -- Compiling entity c_decode_binary_v7_0 -- Compiling architecture behavioral of c_decode_binary_v7_0 -- Compiling package c_decode_binary_v7_0_comp -- Compiling package baseblox_v6_0_services -- Compiling package body baseblox_v6_0_services -- Loading package baseblox_v6_0_services -- Compiling package prims_utils_v6_0 -- Loading package prims_constants_v6_0 -- Compiling package body prims_utils_v6_0 -- Loading package prims_utils_v6_0 -- Loading package prims_utils_v6_0 -- Compiling entity pipeline_v6_0 -- Compiling architecture behavioral of pipeline_v6_0 -- Compiling entity c_reg_fd_v6_0 -- Compiling architecture behavioral of c_reg_fd_v6_0 -- Compiling package c_reg_fd_v6_0_comp -- Loading package c_reg_fd_v6_0_comp -- Compiling entity c_decode_binary_v6_0 -- Compiling architecture behavioral of c_decode_binary_v6_0 -- Compiling package c_decode_binary_v6_0_comp -- Compiling package prims_comps_v6_0 -- Loading package prims_comps_v6_0 -- Compiling entity c_buft_v6_0 -- Compiling architecture buft_beh of c_buft_v6_0 -- Compiling configuration cfg_buft_beh -- Loading entity c_buft_v6_0 -- Loading architecture buft_beh of c_buft_v6_0 -- Compiling entity c_pullup_v6_0 -- Compiling architecture pullup_beh of c_pullup_v6_0 -- Compiling configuration cfg_pullup_beh -- Loading entity c_pullup_v6_0 -- Loading architecture pullup_beh of c_pullup_v6_0 -- Compiling entity c_lut_v6_0 -- Compiling architecture lut_beh of c_lut_v6_0 -- Compiling configuration cfg_lut_beh -- Loading entity c_lut_v6_0 -- Loading architecture lut_beh of c_lut_v6_0 -- Compiling entity c_reg_ld_v6_0 -- Compiling architecture behavioral of c_reg_ld_v6_0 -- Compiling package c_reg_ld_v6_0_comp -- Compiling entity c_mux_slice_buft_v6_0 -- Compiling architecture behavioral of c_mux_slice_buft_v6_0 -- Compiling package c_mux_slice_buft_v6_0_comp -- Compiling entity c_addsub_v6_0 -- Compiling architecture behavioral of c_addsub_v6_0 -- Compiling package c_addsub_v6_0_comp -- Compiling entity c_compare_v6_0 -- Compiling architecture behavioral of c_compare_v6_0 -- Compiling package c_compare_v6_0_comp -- Compiling entity c_mux_bus_v6_0 -- Compiling architecture behavioral of c_mux_bus_v6_0 -- Compiling package c_mux_bus_v6_0_comp -- Compiling entity c_gate_bit_v6_0 -- Compiling architecture behavioral of c_gate_bit_v6_0 -- Compiling package c_gate_bit_v6_0_comp -- Loading package c_addsub_v6_0_comp -- Loading package c_compare_v6_0_comp -- Loading package c_mux_bus_v6_0_comp -- Loading package c_gate_bit_v6_0_comp -- Compiling entity c_counter_binary_v6_0 -- Compiling architecture behavioral of c_counter_binary_v6_0 -- Compiling package c_counter_binary_v6_0_comp -- Compiling entity c_mux_slice_bufe_v6_0 -- Compiling architecture behavioral of c_mux_slice_bufe_v6_0 -- Compiling package c_mux_slice_bufe_v6_0_comp -- Loading package prims_utils_v5_0 -- Loading package prims_constants_v5_0 -- Compiling entity c_reg_ld_v5_0 -- Compiling architecture behavioral of c_reg_ld_v5_0 -- Compiling package c_reg_ld_v5_0_comp -- Loading package c_reg_fd_v5_0_comp -- Compiling entity c_gate_bit_bus_v5_0 -- Compiling architecture behavioral of c_gate_bit_bus_v5_0 -- Compiling package c_gate_bit_bus_v5_0_comp -- Compiling entity c_gate_bit_v5_0 -- Compiling architecture behavioral of c_gate_bit_v5_0 -- Compiling package c_gate_bit_v5_0_comp -- Compiling entity c_decode_binary_v5_0 -- Compiling architecture behavioral of c_decode_binary_v5_0 -- Compiling package c_decode_binary_v5_0_comp -- Compiling package prims_comps_v5_0 -- Loading package prims_comps_v5_0 -- Compiling entity c_lut_v5_0 -- Compiling architecture behavioral of c_lut_v5_0 -- Compiling configuration cfg_lut -- Loading entity c_lut_v5_0 -- Loading architecture behavioral of c_lut_v5_0 -- Compiling entity c_mux_slice_bufe_v5_0 -- Compiling architecture behavioral of c_mux_slice_bufe_v5_0 -- Compiling package c_mux_slice_bufe_v5_0_comp -- Compiling entity c_gate_bus_v5_0 -- Compiling architecture behavioral of c_gate_bus_v5_0 -- Compiling package c_gate_bus_v5_0_comp -- Compiling entity c_addsub_v5_0 -- Compiling architecture behavioral of c_addsub_v5_0 -- Compiling package c_addsub_v5_0_comp -- Compiling entity c_compare_v5_0 -- Compiling architecture behavioral of c_compare_v5_0 -- Compiling package c_compare_v5_0_comp -- Compiling entity c_mux_bus_v5_0 -- Compiling architecture behavioral of c_mux_bus_v5_0 -- Compiling package c_mux_bus_v5_0_comp -- Loading package c_addsub_v5_0_comp -- Loading package c_compare_v5_0_comp -- Loading package c_mux_bus_v5_0_comp -- Compiling entity c_counter_binary_v5_0 -- Compiling architecture behavioral of c_counter_binary_v5_0 -- Compiling package c_counter_binary_v5_0_comp -- Compiling entity c_accum_v5_1 -- Compiling architecture behavioral of c_accum_v5_1 -- Compiling package c_accum_v5_1_comp -- Compiling package baseblox_v5_0_services -- Compiling package body baseblox_v5_0_services -- Loading package baseblox_v5_0_services -- Compiling entity c_mux_slice_buft_v5_0 -- Compiling architecture behavioral of c_mux_slice_buft_v5_0 -- Compiling package c_mux_slice_buft_v5_0_comp -- Loading package prims_utils_v4_0 -- Loading package prims_constants_v4_0 -- Loading package c_reg_fd_v4_0_comp -- Compiling entity c_mux_bit_v4_0 -- Compiling architecture behavioral of c_mux_bit_v4_0 -- Compiling package c_mux_bit_v4_0_comp -- Loading package c_mux_bit_v4_0_comp -- Compiling entity c_shift_fd_v4_0 -- Compiling architecture behavioral of c_shift_fd_v4_0 -- Compiling package c_shift_fd_v4_0_comp -- Compiling entity c_mux_slice_bufe_v4_0 -- Compiling architecture behavioral of c_mux_slice_bufe_v4_0 -- Compiling package c_mux_slice_bufe_v4_0_comp -- Compiling entity c_decode_binary_v4_0 -- Compiling architecture behavioral of c_decode_binary_v4_0 -- Compiling package c_decode_binary_v4_0_comp -- Compiling entity c_reg_ld_v4_0 -- Compiling architecture behavioral of c_reg_ld_v4_0 -- Compiling package c_reg_ld_v4_0_comp -- Compiling entity c_gate_bit_bus_v4_0 -- Compiling architecture behavioral of c_gate_bit_bus_v4_0 -- Compiling package c_gate_bit_bus_v4_0_comp -- Compiling entity c_mux_slice_buft_v4_0 -- Compiling architecture behavioral of c_mux_slice_buft_v4_0 -- Compiling package c_mux_slice_buft_v4_0_comp -- Loading package c_addsub_v4_0_comp -- Compiling entity c_accum_v4_0 -- Compiling architecture behavioral of c_accum_v4_0 -- Compiling package c_accum_v4_0_comp -- Loading package prims_comps_v4_0 -- Compiling entity c_lut_v4_0 -- Compiling architecture behavioral of c_lut_v4_0 -- Compiling configuration cfg_lut -- Loading entity c_lut_v4_0 -- Loading architecture behavioral of c_lut_v4_0 -- Compiling package prims_constants_v3_0 -- Compiling package prims_utils_v3_0 -- Loading package prims_constants_v3_0 -- Compiling package body prims_utils_v3_0 -- Loading package prims_utils_v3_0 -- Loading package prims_utils_v3_0 -- Compiling entity pipeline_v3_0 -- Compiling architecture behavioral of pipeline_v3_0 -- Compiling entity c_reg_fd_v3_0 -- Compiling architecture behavioral of c_reg_fd_v3_0 -- Compiling package c_reg_fd_v3_0_comp -- Loading package c_reg_fd_v3_0_comp -- Compiling entity c_decode_binary_v3_0 -- Compiling architecture behavioral of c_decode_binary_v3_0 -- Compiling package c_decode_binary_v3_0_comp -- Compiling entity c_mux_bit_v3_0 -- Compiling architecture behavioral of c_mux_bit_v3_0 -- Compiling package c_mux_bit_v3_0_comp -- Loading package c_mux_bit_v3_0_comp -- Compiling entity c_shift_fd_v3_0 -- Compiling architecture behavioral of c_shift_fd_v3_0 -- Compiling package c_shift_fd_v3_0_comp -- Compiling entity c_gate_bit_v3_0 -- Compiling architecture behavioral of c_gate_bit_v3_0 -- Compiling package c_gate_bit_v3_0_comp -- Loading package ul_utils -- Loading package mem_init_file_pack_v5_0 -- Compiling entity c_dist_mem_v3_0 -- Compiling architecture behavioral of c_dist_mem_v3_0 -- Compiling package c_dist_mem_v3_0_comp -- Compiling entity c_reg_ld_v3_0 -- Compiling architecture behavioral of c_reg_ld_v3_0 -- Compiling package c_reg_ld_v3_0_comp -- Compiling entity c_shift_ram_v3_0 -- Compiling architecture behavioral of c_shift_ram_v3_0 -- Compiling package c_shift_ram_v3_0_comp -- Compiling entity c_gate_bit_bus_v3_0 -- Compiling architecture behavioral of c_gate_bit_bus_v3_0 -- Compiling package c_gate_bit_bus_v3_0_comp -- Compiling entity c_addsub_v3_0 -- Compiling architecture behavioral of c_addsub_v3_0 -- Compiling package c_addsub_v3_0_comp -- Compiling entity c_compare_v3_0 -- Compiling architecture behavioral of c_compare_v3_0 -- Compiling package c_compare_v3_0_comp -- Compiling entity c_mux_bus_v3_0 -- Compiling architecture behavioral of c_mux_bus_v3_0 -- Compiling package c_mux_bus_v3_0_comp -- Loading package c_addsub_v3_0_comp -- Loading package c_compare_v3_0_comp -- Loading package c_mux_bus_v3_0_comp -- Compiling entity c_counter_binary_v3_0 -- Compiling architecture behavioral of c_counter_binary_v3_0 -- Compiling package c_counter_binary_v3_0_comp -- Compiling package prims_comps_v3_0 -- Loading package prims_comps_v3_0 -- Compiling entity c_lut_v3_0 -- Compiling architecture behavioral of c_lut_v3_0 -- Compiling configuration cfg_beh -- Loading entity c_lut_v3_0 -- Loading architecture behavioral of c_lut_v3_0 -- Compiling entity c_twos_comp_v3_0 -- Compiling architecture behavioral of c_twos_comp_v3_0 -- Compiling package c_twos_comp_v3_0_comp -- Compiling entity c_gate_bus_v3_0 -- Compiling architecture behavioral of c_gate_bus_v3_0 -- Compiling package c_gate_bus_v3_0_comp -- Compiling entity c_mux_slice_bufe_v3_0 -- Compiling architecture behavioral of c_mux_slice_bufe_v3_0 -- Compiling package c_mux_slice_bufe_v3_0_comp -- Compiling entity c_mux_slice_buft_v3_0 -- Compiling architecture behavioral of c_mux_slice_buft_v3_0 -- Compiling package c_mux_slice_buft_v3_0_comp -- Compiling entity c_accum_v3_0 -- Compiling architecture behavioral of c_accum_v3_0 -- Compiling package c_accum_v3_0_comp -- Compiling entity dvb_s2_fec_encoder_v1_0 -- Compiling architecture behavioral of dvb_s2_fec_encoder_v1_0 -- Compiling package dvb_s2_fec_encoder_v1_0_comp -- Compiling package dvb_s2_fec_encoder_v1_0_xst_comp -- Compiling package tcc_encoder_3gpp_v2_0_comp -- Compiling package floating_point_v1_0_consts -- Loading package floating_point_v1_0_consts -- Compiling package floating_point_pkg_v1_0 -- Compiling package body floating_point_pkg_v1_0 -- Loading package floating_point_pkg_v1_0 ###### C:\DESIGNS\FPGA\SimLib\XilinxCoreLib\XilinxCoreLib_source.vhd(141055): end function; ** Warning: C:\DESIGNS\FPGA\SimLib\XilinxCoreLib\XilinxCoreLib_source.vhd(141055): Function 'flt_pt_get_embedded' may complete without a RETURN at line 141040. ** Warning: C:\DESIGNS\FPGA\SimLib\XilinxCoreLib\XilinxCoreLib_source.vhd(141055): Function 'flt_pt_get_embedded' may complete without a RETURN at line 141050. ###### C:\DESIGNS\FPGA\SimLib\XilinxCoreLib\XilinxCoreLib_source.vhd(141073): end function; ** Warning: C:\DESIGNS\FPGA\SimLib\XilinxCoreLib\XilinxCoreLib_source.vhd(141073): Function 'flt_pt_get_usedsp48' may complete without a RETURN at line 141066. -- Loading package floating_point_pkg_v1_0 -- Compiling entity flt_pt_operator -- Compiling architecture behavioral of flt_pt_operator -- Compiling entity floating_point_v1_0_xst -- Compiling architecture behavioral of floating_point_v1_0_xst -- Compiling package floating_point_v1_0_xst_comp -- Loading package floating_point_v1_0_xst_comp -- Compiling entity floating_point_v1_0 -- Compiling architecture behavioral of floating_point_v1_0 -- Compiling package floating_point_v1_0_comp -- Compiling package mult_const_pkg_v7_0 -- Loading package mult_const_pkg_v7_0 -- Compiling package parm_v7_0_services -- Compiling package body parm_v7_0_services -- Loading package parm_v7_0_services -- Loading package parm_v7_0_services -- Compiling package ccm_v7_0_services -- Compiling package body ccm_v7_0_services -- Loading package ccm_v7_0_services -- Loading package ccm_v7_0_services -- Compiling package sqm_v7_0_services -- Compiling package body sqm_v7_0_services -- Loading package sqm_v7_0_services -- Loading package sqm_v7_0_services -- Compiling package mult_gen_v7_0_services -- Compiling package body mult_gen_v7_0_services -- Loading package mult_gen_v7_0_services -- Loading package family -- Loading package mult_gen_v7_0_services -- Compiling package cmpy_v2_1_pkg -- Compiling package body cmpy_v2_1_pkg -- Loading package cmpy_v2_1_pkg -- Compiling package iputils_std_logic_arith -- Compiling package body iputils_std_logic_arith -- Loading package iputils_std_logic_arith -- Loading package iputils_std_logic_arith -- Compiling package iputils_std_logic_signed -- Compiling package body iputils_std_logic_signed -- Loading package iputils_std_logic_signed -- Loading package cmpy_v2_1_pkg -- Loading package iputils_std_logic_signed -- Compiling entity cmpy_v2_1 -- Compiling architecture behavioral of cmpy_v2_1 -- Compiling package cmpy_v2_1_comp -- Compiling package mac_fir_v5_0_comp -- Compiling package mac_fir_v4_0_comp -- Compiling package sid_const_pkg_behav_v3_1 -- Compiling package sid_mif_pkg_behav_v3_1 -- Compiling package body sid_mif_pkg_behav_v3_1 -- Loading package sid_mif_pkg_behav_v3_1 -- Loading package sid_const_pkg_behav_v3_1 -- Loading package sid_mif_pkg_behav_v3_1 -- Compiling package sid_pkg_behav_v3_1 -- Compiling package body sid_pkg_behav_v3_1 -- Loading package sid_pkg_behav_v3_1 -- Loading package sid_pkg_behav_v3_1 -- Compiling entity sid_bhv_forney_v3_1 -- Compiling architecture behavioral of sid_bhv_forney_v3_1 -- Compiling entity sid_bhv_rectangular_block_v3_1 -- Compiling architecture behavioral of sid_bhv_rectangular_block_v3_1 -- Compiling entity sid_v3_1 -- Compiling architecture behavioral of sid_v3_1 -- Compiling package sid_v3_1_comp -- Compiling package body sid_v3_1_comp -- Loading package sid_v3_1_comp -- Compiling package mac_fir_v3_0_comp -- Compiling package viterbi_pack_v4 -- Compiling package body viterbi_pack_v4 -- Loading package viterbi_pack_v4 -- Loading package viterbi_pack_v4 -- Compiling entity viterbi_v4_0 -- Compiling architecture behavioral of viterbi_v4_0 -- Compiling package viterbi_v4_0_comp -- Compiling package viterbi_pack_v3 -- Compiling package body viterbi_pack_v3 -- Loading package viterbi_pack_v3 -- Loading package viterbi_pack_v3 -- Compiling entity viterbi_v3_0 -- Compiling architecture behavioral of viterbi_v3_0 -- Compiling package viterbi_v3_0_comp -- Compiling package c_dds_v4_2_comp -- Compiling package iputils_std_logic_unsigned -- Compiling package body iputils_std_logic_unsigned -- Loading package iputils_std_logic_unsigned -- Compiling package rs_ftns_pkg_v5_1 -- Compiling package body rs_ftns_pkg_v5_1 -- Loading package rs_ftns_pkg_v5_1 -- Loading package rs_ftns_pkg_v5_1 -- Loading package iputils_std_logic_unsigned -- Compiling entity rs_decoder_v5_1 -- Compiling architecture behavioral of rs_decoder_v5_1 -- Compiling package rs_decoder_v5_1_comp -- Compiling package body rs_decoder_v5_1_comp -- Loading package rs_decoder_v5_1_comp -- Compiling package rs_ftns_pkg_v5_0 -- Compiling package body rs_ftns_pkg_v5_0 -- Loading package rs_ftns_pkg_v5_0 -- Loading package std_logic_unsigned -- Loading package rs_ftns_pkg_v5_0 -- Compiling entity rs_decoder_v5_0 -- Compiling architecture behavioral of rs_decoder_v5_0 -- Compiling package rs_decoder_v5_0_comp -- Compiling package body rs_decoder_v5_0_comp -- Loading package rs_decoder_v5_0_comp -- Compiling package rs_encoder_v5_0_comp -- Compiling package body rs_encoder_v5_0_comp -- Loading package rs_encoder_v5_0_comp -- Loading package rs_encoder_v5_0_comp -- Compiling entity rs_encoder_v5_0 -- Compiling architecture behavioral of rs_encoder_v5_0 -- Compiling package rs_ftns_pkg_v4_1 -- Compiling package body rs_ftns_pkg_v4_1 -- Loading package rs_ftns_pkg_v4_1 -- Loading package rs_ftns_pkg_v4_1 -- Compiling entity rs_decoder_v4_1 -- Compiling architecture behavioral of rs_decoder_v4_1 -- Compiling package rs_decoder_v4_1_comp -- Compiling package body rs_decoder_v4_1_comp -- Loading package rs_decoder_v4_1_comp -- Compiling package rs_encoder_v4_1_comp -- Loading package rs_encoder_v4_1_comp -- Compiling entity rs_encoder_v4_1 -- Compiling architecture behavioral of rs_encoder_v4_1 -- Compiling package rs_ftns_pkg_v4_0 -- Compiling package body rs_ftns_pkg_v4_0 -- Loading package rs_ftns_pkg_v4_0 -- Loading package rs_ftns_pkg_v4_0 -- Compiling entity rs_decoder_v4_0 -- Compiling architecture behavioral of rs_decoder_v4_0 -- Compiling package rs_decoder_v4_0_comp -- Compiling package body rs_decoder_v4_0_comp -- Loading package rs_decoder_v4_0_comp -- Compiling entity rs_encoder_v4_0 -- Compiling architecture behavioral of rs_encoder_v4_0 -- Compiling package rs_encoder_v4_0_comp -- Loading package math_real -- Compiling package c_sin_cos_v4_1_pack -- Compiling package body c_sin_cos_v4_1_pack -- Loading package c_sin_cos_v4_1_pack -- Compiling entity c_mux_bit_v5_0 -- Compiling architecture behavioral of c_mux_bit_v5_0 -- Compiling package c_mux_bit_v5_0_comp -- Loading package c_mux_bit_v5_0_comp -- Compiling entity c_shift_fd_v5_0 -- Compiling architecture behavioral of c_shift_fd_v5_0 -- Compiling package c_shift_fd_v5_0_comp -- Compiling entity pipe_bhv_v4_1 -- Compiling architecture behavioral of pipe_bhv_v4_1 -- Compiling package pipe_bhv_v4_1_comp -- Loading package c_shift_fd_v5_0_comp -- Loading package c_sin_cos_v4_1_pack -- Loading package pipe_bhv_v4_1_comp -- Compiling entity c_sin_cos_v4_1 -- Compiling architecture behavioral of c_sin_cos_v4_1 -- Loading entity c_shift_fd_v5_0 -- Loading entity pipe_bhv_v4_1 -- Loading entity c_reg_fd_v5_0 -- Compiling package c_sin_cos_v4_1_comp -- Compiling entity c_accum_v5_0 -- Compiling architecture behavioral of c_accum_v5_0 -- Loading package standard -- Loading package std_logic_1164 -- Loading package prims_constants_v5_0 -- Compiling package c_accum_v5_0_comp -- Loading package iputils_std_logic_arith -- Loading package iputils_std_logic_signed -- Compiling entity dither_v4_1 -- Compiling architecture rtl of dither_v4_1 -- Compiling package dither_v4_1_comp -- Loading package c_reg_fd_v5_0_comp -- Loading package c_addsub_v5_0_comp -- Loading package dither_v4_1_comp -- Compiling entity dither_add_v4_1 -- Compiling architecture structural of dither_add_v4_1 -- Loading entity dither_v4_1 -- Loading package prims_utils_v5_0 -- Loading package numeric_std -- Loading package textio -- Loading entity c_reg_fd_v5_0 -- Loading entity c_addsub_v5_0 -- Compiling package dither_add_v4_1_comp -- Compiling package mult_const_pkg_v5_0 -- Loading package mult_const_pkg_v5_0 -- Compiling package parm_v5_0_services -- Compiling package body parm_v5_0_services -- Loading package parm_v5_0_services -- Loading package parm_v5_0_services -- Compiling package ccm_v5_0_services -- Compiling package body ccm_v5_0_services -- Loading package ccm_v5_0_services -- Loading package ccm_v5_0_services -- Compiling package sqm_v5_0_services -- Compiling package body sqm_v5_0_services -- Loading package sqm_v5_0_services -- Loading package sqm_v5_0_services -- Compiling package mult_gen_v5_0_services -- Compiling package body mult_gen_v5_0_services -- Loading package mult_gen_v5_0_services -- Loading package mult_gen_v5_0_services -- Loading package math_real -- Loading package ul_utils -- Compiling package c_dds_v4_1_pack -- Compiling package body c_dds_v4_1_pack -- Loading package c_dds_v4_1_pack -- Loading package mem_init_file_pack_v5_0 -- Compiling entity c_shift_ram_v5_0 -- Compiling architecture behavioral of c_shift_ram_v5_0 -- Compiling package c_shift_ram_v5_0_comp -- Compiling package mult_pkg_v5_0 -- Compiling package body mult_pkg_v5_0 -- Loading package mult_pkg_v5_0 -- Loading package mult_pkg_v5_0 -- Compiling entity mult_gen_v5_0_non_seq -- Compiling architecture behavioral of mult_gen_v5_0_non_seq -- Compiling package mult_gen_v5_0_non_seq_comp -- Loading package mult_gen_v5_0_non_seq_comp -- Compiling entity mult_gen_v5_0_seq -- Compiling architecture behavioral of mult_gen_v5_0_seq -- Compiling package mult_gen_v5_0_seq_comp -- Loading package mult_gen_v5_0_seq_comp -- Compiling entity mult_gen_v5_0 -- Compiling architecture behavioral of mult_gen_v5_0 -- Compiling package mult_gen_v5_0_comp -- Compiling entity c_twos_comp_v5_0 -- Compiling architecture behavioral of c_twos_comp_v5_0 -- Compiling package c_twos_comp_v5_0_comp -- Loading package c_twos_comp_v5_0_comp -- Compiling entity dds_round_v4_1 -- Compiling architecture structural of dds_round_v4_1 -- Loading entity c_twos_comp_v5_0 -- Compiling package dds_round_v4_1_comp -- Loading package c_shift_ram_v5_0_comp -- Loading package c_dds_v4_1_pack -- Loading package mult_gen_v5_0_comp -- Loading package dds_round_v4_1_comp -- Loading package c_shift_fd_v5_0_comp -- Compiling entity c_eff_v4_1 -- Compiling architecture c_eff_v4_1 of c_eff_v4_1 -- Loading entity c_shift_ram_v5_0 -- Loading entity dds_round_v4_1 -- Loading package c_mux_bit_v5_0_comp -- Loading entity c_shift_fd_v5_0 -- Loading entity mult_gen_v5_0 -- Compiling package c_eff_v4_1_comp -- Loading package c_sin_cos_v4_1_pack -- Loading package c_sin_cos_v4_1_comp -- Loading package c_accum_v5_0_comp -- Loading package dither_add_v4_1_comp -- Loading package c_eff_v4_1_comp -- Compiling entity c_dds_v4_1 -- Compiling architecture behavioral of c_dds_v4_1 -- Loading entity dither_add_v4_1 -- Loading entity c_eff_v4_1 -- Loading entity c_accum_v5_0 -- Loading package iputils_std_logic_unsigned -- Loading package pipe_bhv_v4_1_comp -- Loading entity c_sin_cos_v4_1 -- Compiling package c_dds_v4_1_comp -- Compiling package c_sin_cos_v4_0_pack -- Loading package std_logic_arith -- Compiling package body c_sin_cos_v4_0_pack -- Loading package c_sin_cos_v4_0_pack -- Compiling entity pipe_bhv_v4_0 -- Compiling architecture behavioral of pipe_bhv_v4_0 -- Compiling package pipe_bhv_v4_0_comp -- Loading package std_logic_unsigned -- Loading package c_sin_cos_v4_0_pack -- Loading package pipe_bhv_v4_0_comp -- Compiling entity c_sin_cos_v4_0 -- Compiling architecture behavioral of c_sin_cos_v4_0 -- Compiling package c_sin_cos_v4_0_comp -- Loading package std_logic_signed -- Compiling entity dither_v4_0 -- Compiling architecture rtl of dither_v4_0 -- Compiling package dither_v4_0_comp -- Loading package dither_v4_0_comp -- Compiling entity dither_add_v4_0 -- Compiling architecture structural of dither_add_v4_0 -- Loading entity dither_v4_0 -- Compiling package dither_add_v4_0_comp -- Compiling package c_dds_v4_0_pack -- Compiling package body c_dds_v4_0_pack -- Loading package c_dds_v4_0_pack -- Loading package c_sin_cos_v4_0_comp -- Loading package dither_add_v4_0_comp -- Loading package c_dds_v4_0_pack -- Compiling entity c_dds_v4_0 -- Compiling architecture behavioral of c_dds_v4_0 -- Loading entity c_sin_cos_v4_0 -- Loading entity dither_add_v4_0 -- Compiling package dds_v4_0_comp -- Loading package prims_constants_v2_0 -- Compiling package mac_v4_0_comp -- Compiling package c_dds_v5_0_comp -- Loading package prims_constants_v7_0 -- Loading package prims_utils_v7_0 -- Loading package c_reg_fd_v7_0_comp -- Compiling entity c_gate_bus_v7_0 -- Compiling architecture behavioral of c_gate_bus_v7_0 -- Compiling package c_gate_bus_v7_0_comp -- Loading package mult_const_pkg_v7_0 -- Loading package parm_v7_0_services -- Loading package ccm_v7_0_services -- Loading package sqm_v7_0_services -- Loading package mult_gen_v7_0_services -- Compiling package mult_pkg_v7_0 -- Compiling package body mult_pkg_v7_0 -- Loading package mult_pkg_v7_0 -- Loading package mult_pkg_v7_0 -- Compiling entity mult_gen_v7_0_non_seq -- Compiling architecture behavioral of mult_gen_v7_0_non_seq -- Compiling package mult_gen_v7_0_non_seq_comp -- Loading package mult_gen_v7_0_non_seq_comp -- Compiling entity mult_gen_v7_0_seq -- Compiling architecture behavioral of mult_gen_v7_0_seq -- Compiling package mult_gen_v7_0_seq_comp -- Loading package mult_gen_v7_0_seq_comp -- Compiling entity mult_gen_v7_0 -- Compiling architecture behavioral of mult_gen_v7_0 -- Compiling package mult_gen_v7_0_comp -- Loading package iputils_mem87 -- Compiling entity c_shift_ram_v7_0 -- Compiling architecture behavioral of c_shift_ram_v7_0 -- Compiling package c_shift_ram_v7_0_comp -- Loading package family -- Compiling package cmpy_v2_0_pkg -- Compiling package body cmpy_v2_0_pkg -- Loading package cmpy_v2_0_pkg -- Loading package cmpy_v2_0_pkg -- Compiling entity cmpy_v2_0 -- Compiling architecture behavioral of cmpy_v2_0 -- Compiling package cmpy_v2_0_comp -- Compiling entity c_twos_comp_v7_0 -- Compiling architecture behavioral of c_twos_comp_v7_0 -- Compiling package c_twos_comp_v7_0_comp -- Compiling entity c_mux_bit_v7_0 -- Compiling architecture behavioral of c_mux_bit_v7_0 -- Compiling package c_mux_bit_v7_0_comp -- Loading package c_mux_bit_v7_0_comp -- Compiling entity c_shift_fd_v7_0 -- Compiling architecture behavioral of c_shift_fd_v7_0 -- Compiling package c_shift_fd_v7_0_comp -- Compiling package c_sin_cos_v5_0_pack -- Compiling package body c_sin_cos_v5_0_pack -- Loading package c_sin_cos_v5_0_pack -- Compiling entity pipe_bhv_v5_0 -- Compiling architecture behavioral of pipe_bhv_v5_0 -- Compiling package pipe_bhv_v5_0_comp -- Loading package c_shift_fd_v7_0_comp -- Loading package c_sin_cos_v5_0_pack -- Loading package pipe_bhv_v5_0_comp -- Compiling entity c_sin_cos_v5_0 -- Compiling architecture behavioral of c_sin_cos_v5_0 -- Loading entity c_shift_fd_v7_0 -- Loading entity pipe_bhv_v5_0 -- Loading entity c_reg_fd_v7_0 -- Compiling package c_sin_cos_v5_0_comp -- Compiling entity c_gate_bit_bus_v7_0 -- Compiling architecture behavioral of c_gate_bit_bus_v7_0 -- Compiling package c_gate_bit_bus_v7_0_comp -- Loading package c_addsub_v7_0_comp -- Compiling entity c_accum_v7_0 -- Compiling architecture behavioral of c_accum_v7_0 -- Compiling package c_accum_v7_0_comp -- Loading package c_gate_bus_v7_0_comp -- Loading package c_gate_bit_v7_0_comp -- Loading package prims_comps_v7_0 -- Loading package c_compare_v7_0_comp -- Loading package c_dist_mem_v7_1_comp -- Loading package blkmemdp_pkg_v6_1 -- Loading package blkmemdp_v6_1_comp -- Loading package mult_gen_v7_0_comp -- Loading package c_mux_bus_v7_0_comp -- Loading package c_shift_ram_v7_0_comp -- Loading package cmpy_v2_0_comp -- Loading package c_twos_comp_v7_0_comp -- Loading package c_sin_cos_v5_0_comp -- Loading package c_gate_bit_bus_v7_0_comp -- Loading package c_accum_v7_0_comp -- Compiling package fft31_pkg -- Compiling package body fft31_pkg -- Loading package fft31_pkg -- Compiling package fft31_synth_pkg -- Compiling package body fft31_synth_pkg -- Loading package fft31_synth_pkg -- Compiling package fft31_bb_comps -- Compiling package fft31_synth_comps -- Compiling package fft31_comps -- Compiling entity fft31_equ_rtl -- Compiling architecture xilinx of fft31_equ_rtl -- Compiling entity fft31_fde_rtl -- Compiling architecture xilinx of fft31_fde_rtl -- Compiling entity fft31_fdre_rtl -- Compiling architecture xilinx of fft31_fdre_rtl -- Compiling entity fft31_reg_rs_rtl -- Compiling architecture xilinx of fft31_reg_rs_rtl -- Loading package vcomponents -- Compiling entity fft31_reg_re_rtl -- Compiling architecture xilinx of fft31_reg_re_rtl -- Loading package fft31_synth_comps -- Compiling entity fft31_cnt_tc_rtl -- Compiling architecture xilinx of fft31_cnt_tc_rtl -- Compiling entity fft31_cnt_tc_rtl_a -- Compiling architecture xilinx of fft31_cnt_tc_rtl_a -- Compiling entity fft31_r22_cnt_ctrl -- Compiling architecture xilinx of fft31_r22_cnt_ctrl -- Loading package fft31_synth_pkg -- Compiling entity fft31_r22_flow_ctrl -- Compiling architecture xilinx of fft31_r22_flow_ctrl -- Compiling entity fft31_flow_control_a -- Compiling architecture xilinx of fft31_flow_control_a -- Compiling entity fft31_flow_control_b -- Compiling architecture xilinx of fft31_flow_control_b -- Compiling entity fft31_flow_control_c -- Compiling architecture xilinx of fft31_flow_control_c -- Compiling entity fft31_c_lut -- Compiling architecture xilinx of fft31_c_lut -- Loading package fft31_comps -- Compiling entity fft31_c_lut_reg -- Compiling architecture xilinx of fft31_c_lut_reg -- Compiling entity fft31_c_lut_reg_sclr -- Compiling architecture xilinx of fft31_c_lut_reg_sclr -- Compiling entity fft31_fde -- Compiling architecture xilinx of fft31_fde -- Compiling entity fft31_reg_fde -- Compiling architecture xilinx of fft31_reg_fde -- Compiling entity fft31_reg_fde_sclr -- Compiling architecture xilinx of fft31_reg_fde_sclr -- Compiling entity fft31_reg_fde_sr_1 -- Compiling architecture xilinx of fft31_reg_fde_sr_1 -- Compiling entity fft31_adder -- Compiling architecture xilinx of fft31_adder -- Compiling entity fft31_adder_bypass -- Compiling architecture xilinx of fft31_adder_bypass -- Compiling entity fft31_compare -- Compiling architecture xilinx of fft31_compare -- Compiling entity fft31_sub_byp -- Compiling architecture xilinx of fft31_sub_byp -- Compiling entity fft31_sub_byp_j -- Compiling architecture xilinx of fft31_sub_byp_j -- Compiling entity fft31_subtracter -- Compiling architecture xilinx of fft31_subtracter -- Compiling entity fft31_xor_bit_gate -- Compiling architecture xilinx of fft31_xor_bit_gate -- Compiling entity fft31_xnor_bit_gate -- Compiling architecture xilinx of fft31_xnor_bit_gate -- Compiling entity fft31_mux_bus -- Compiling architecture xilinx of fft31_mux_bus -- Compiling entity fft31_mux_bus_sclr -- Compiling architecture xilinx of fft31_mux_bus_sclr -- Compiling entity fft31_mux_bus16 -- Compiling architecture xilinx of fft31_mux_bus16 -- Compiling entity fft31_mux_bus2 -- Compiling architecture xilinx of fft31_mux_bus2 -- Compiling entity fft31_mux_bus8 -- Compiling architecture xilinx of fft31_mux_bus8 -- Compiling entity fft31_mux_bus2_1 -- Compiling architecture xilinx of fft31_mux_bus2_1 -- Compiling entity fft31_ones_comp -- Compiling architecture xilinx of fft31_ones_comp -- Compiling entity fft31_twos_comp -- Compiling architecture xilinx of fft31_twos_comp -- Compiling entity fft31_and_gate -- Compiling architecture xilinx of fft31_and_gate -- Compiling entity fft31_and_bus_gate -- Compiling architecture xilinx of fft31_and_bus_gate -- Compiling entity fft31_and2 -- Compiling architecture xilinx of fft31_and2 -- Compiling entity fft31_shift_ram -- Compiling architecture xilinx of fft31_shift_ram -- Compiling entity fft31_shift_ram_1 -- Compiling architecture xilinx of fft31_shift_ram_1 -- Compiling entity fft31_shift_ram_sclr -- Compiling architecture xilinx of fft31_shift_ram_sclr -- Compiling entity fft31_shift_ram_1_sclr -- Compiling architecture xilinx of fft31_shift_ram_1_sclr -- Loading package fft31_pkg -- Compiling entity fft31_mult -- Compiling architecture xilinx of fft31_mult -- Compiling entity fft31_dpm -- Compiling architecture xilinx of fft31_dpm -- Compiling entity fft31_dist_mem -- Compiling architecture xilinx of fft31_dist_mem -- Compiling entity fft31_sin_cos -- Compiling architecture xilinx of fft31_sin_cos -- Compiling entity fft31_max2_2 -- Compiling architecture xilinx of fft31_max2_2 -- Compiling entity fft31_comp8 -- Compiling architecture xilinx of fft31_comp8 -- Compiling entity fft31_range_r2 -- Compiling architecture xilinx of fft31_range_r2 -- Compiling entity fft31_range_r4 -- Compiling architecture xilinx of fft31_range_r4 -- Compiling entity fft31_arith_shift1 -- Compiling architecture xilinx of fft31_arith_shift1 -- Compiling entity fft31_arith_shift3 -- Compiling architecture xilinx of fft31_arith_shift3 -- Compiling entity fft31_r2_ranger -- Compiling architecture xilinx of fft31_r2_ranger -- Compiling entity fft31_in_ranger -- Compiling architecture xilinx of fft31_in_ranger -- Compiling entity fft31_r4_ranger -- Compiling architecture xilinx of fft31_r4_ranger -- Compiling entity fft31_scale_logic -- Compiling architecture xilinx of fft31_scale_logic -- Compiling entity fft31_r2_ovflo_gen -- Compiling architecture xilinx of fft31_r2_ovflo_gen -- Compiling entity fft31_overflow_gen -- Compiling architecture xilinx of fft31_overflow_gen -- Compiling entity fft31_butterfly_dsp48 -- Compiling architecture xilinx of fft31_butterfly_dsp48 -- Compiling entity fft31_butterfly_dsp48_bypass -- Compiling architecture xilinx of fft31_butterfly_dsp48_bypass -- Compiling entity fft31_butterfly -- Compiling architecture xilinx of fft31_butterfly -- Compiling entity fft31_butterfly_j -- Compiling architecture xilinx of fft31_butterfly_j -- Compiling entity fft31_bfly_byp -- Compiling architecture xilinx of fft31_bfly_byp -- Compiling entity fft31_bfly_byp_j -- Compiling architecture xilinx of fft31_bfly_byp_j -- Compiling entity fft31_complex_mult3 -- Compiling architecture xilinx of fft31_complex_mult3 -- Compiling entity fft31_complex_mult4 -- Compiling architecture xilinx of fft31_complex_mult4 -- Compiling entity fft31_dragonfly_dsp48 -- Compiling architecture xilinx of fft31_dragonfly_dsp48 -- Compiling entity fft31_dragonfly_dsp48_bypass -- Compiling architecture xilinx of fft31_dragonfly_dsp48_bypass -- Compiling entity fft31_dragonfly -- Compiling architecture xilinx of fft31_dragonfly -- Compiling entity fft31_dfly_byp -- Compiling architecture xilinx of fft31_dfly_byp -- Compiling entity fft31_unbiased_round -- Compiling architecture xilinx of fft31_unbiased_round -- Compiling entity fft31_pe4 -- Compiling architecture xilinx of fft31_pe4 -- Compiling entity fft31_io_addr_gen -- Compiling architecture xilinx of fft31_io_addr_gen -- Compiling entity fft31_rw_addr_gen -- Compiling architecture xilinx of fft31_rw_addr_gen -- Compiling entity fft31_rw_addr_gen_b -- Compiling architecture xilinx of fft31_rw_addr_gen_b -- Compiling entity fft31_tw_gen_p2 -- Compiling architecture xilinx of fft31_tw_gen_p2 -- Compiling entity fft31_tw_gen_p4 -- Compiling architecture xilinx of fft31_tw_gen_p4 -- Loading package fft31_bb_comps -- Compiling entity fft31_tw_addr_gen -- Compiling architecture xilinx of fft31_tw_addr_gen -- Compiling entity fft31_out_addr_gen -- Compiling architecture xilinx of fft31_out_addr_gen -- Compiling entity fft31_out_addr_gen_b -- Compiling architecture xilinx of fft31_out_addr_gen_b -- Compiling entity fft31_in_switch4 -- Compiling architecture xilinx of fft31_in_switch4 -- Compiling entity fft31_out_switch4 -- Compiling architecture xilinx of fft31_out_switch4 -- Compiling entity fft31_r2_pe -- Compiling architecture xilinx of fft31_r2_pe -- Compiling entity fft31_r2_tw_addr -- Compiling architecture xilinx of fft31_r2_tw_addr -- Compiling entity fft31_r2_in_addr -- Compiling architecture xilinx of fft31_r2_in_addr -- Compiling entity fft31_r2_rw_addr -- Compiling architecture xilinx of fft31_r2_rw_addr -- Compiling entity fft31_r22_cmplx_mult -- Compiling architecture xilinx of fft31_r22_cmplx_mult -- Compiling entity fft31_r22_bfly_byp -- Compiling architecture xilinx of fft31_r22_bfly_byp -- Compiling entity fft31_r22_memory -- Compiling architecture xilinx of fft31_r22_memory -- Compiling entity fft31_r22_tw_gen -- Compiling architecture xilinx of fft31_r22_tw_gen -- Compiling entity fft31_r22_ovflo -- Compiling architecture xilinx of fft31_r22_ovflo -- Compiling entity fft31_r22_bf1_last_even -- Compiling architecture xilinx of fft31_r22_bf1_last_even -- Compiling entity fft31_r22_bf1_last_odd -- Compiling architecture xilinx of fft31_r22_bf1_last_odd -- Compiling entity fft31_r22_bf1_penult_odd -- Compiling architecture xilinx of fft31_r22_bf1_penult_odd -- Compiling entity fft31_r22_bf1_sp -- Compiling architecture xilinx of fft31_r22_bf1_sp -- Compiling entity fft31_r22_bf1 -- Compiling architecture xilinx of fft31_r22_bf1 -- Compiling entity fft31_r22_bf2_last_even -- Compiling architecture xilinx of fft31_r22_bf2_last_even -- Compiling entity fft31_r22_bf2_penult_even -- Compiling architecture xilinx of fft31_r22_bf2_penult_even -- Compiling entity fft31_r22_bf2_penult_odd -- Compiling architecture xilinx of fft31_r22_bf2_penult_odd -- Compiling entity fft31_r22_bf2_sp -- Compiling architecture xilinx of fft31_r22_bf2_sp -- Compiling entity fft31_r22_bf2 -- Compiling architecture xilinx of fft31_r22_bf2 -- Compiling entity fft31_r22_pe -- Compiling architecture xilinx of fft31_r22_pe -- Compiling entity fft31_r22_pe_last -- Compiling architecture xilinx of fft31_r22_pe_last -- Compiling entity xfft_v3_1_a -- Compiling architecture xilinx of xfft_v3_1_a -- Compiling entity xfft_v3_1_b -- Compiling architecture xilinx of xfft_v3_1_b -- Compiling entity xfft_v3_1_c -- Compiling architecture xilinx of xfft_v3_1_c -- Compiling entity xfft_v3_1_d -- Compiling architecture xilinx of xfft_v3_1_d -- Compiling entity xfft_v3_1 -- Compiling architecture behavioral of xfft_v3_1 -- Compiling package xfft_v3_1_comp -- Compiling package body xfft_v3_1_comp -- Loading package xfft_v3_1_comp -- Compiling package blkmemdp_pkg_v6_0 -- Compiling package body blkmemdp_pkg_v6_0 -- Loading package blkmemdp_pkg_v6_0 -- Compiling package blkmemdp_mem_init_file_pack_v6_0 -- Compiling package body blkmemdp_mem_init_file_pack_v6_0 -- Loading package blkmemdp_mem_init_file_pack_v6_0 -- Loading package vital_timing -- Loading package blkmemdp_mem_init_file_pack_v6_0 -- Loading package blkmemdp_pkg_v6_0 -- Compiling entity blkmemdp_v6_0 -- Compiling architecture behavioral of blkmemdp_v6_0 -- Compiling package blkmemdp_v6_0_comp -- Compiling entity c_dist_mem_v7_0 -- Compiling architecture behavioral of c_dist_mem_v7_0 -- Compiling package c_dist_mem_v7_0_comp -- Compiling package cmpy_pkg -- Compiling package body cmpy_pkg -- Loading package cmpy_pkg -- Loading package cmpy_pkg -- Compiling entity cmpy_v1_0 -- Compiling architecture behavioral of cmpy_v1_0 -- Compiling package cmpy_v1_0_comp -- Loading package blkmemdp_v6_0_comp -- Loading package c_dist_mem_v7_0_comp -- Loading package cmpy_v1_0_comp -- Compiling package fft30_synth_pkg -- Compiling package body fft30_synth_pkg -- Loading package fft30_synth_pkg -- Compiling package fft30_pkg -- Compiling package body fft30_pkg -- Loading package fft30_pkg -- Compiling package fft30_bb_comps -- Loading package standard -- Loading package std_logic_1164 -- Compiling package fft30_synth_comps -- Compiling package fft30_comps -- Compiling entity fft30_equ_rtl -- Compiling architecture xilinx of fft30_equ_rtl -- Compiling entity fft30_fde_rtl -- Compiling architecture xilinx of fft30_fde_rtl -- Compiling entity fft30_fdre_rtl -- Compiling architecture xilinx of fft30_fdre_rtl -- Loading package fft30_synth_comps -- Compiling entity fft30_cnt_tc_rtl -- Compiling architecture xilinx of fft30_cnt_tc_rtl -- Compiling entity fft30_cnt_tc_rtl_a -- Compiling architecture xilinx of fft30_cnt_tc_rtl_a -- Compiling entity fft30_r22_cnt_ctrl -- Compiling architecture xilinx of fft30_r22_cnt_ctrl -- Compiling entity fft30_reg_rs_rtl -- Compiling architecture xilinx of fft30_reg_rs_rtl -- Loading package vcomponents -- Loading package std_logic_arith -- Compiling entity fft30_reg_re_rtl -- Compiling architecture xilinx of fft30_reg_re_rtl -- Loading package prims_constants_v7_0 -- Loading package c_reg_fd_v7_0_comp -- Loading package c_mux_bus_v7_0_comp -- Loading package mult_const_pkg_v7_0 -- Loading package mult_gen_v7_0_comp -- Loading package parm_v7_0_services -- Loading package ccm_v7_0_services -- Loading package sqm_v7_0_services -- Loading package mult_gen_v7_0_services -- Loading package c_gate_bus_v7_0_comp -- Loading package prims_comps_v7_0 -- Loading package prims_utils_v7_0 -- Loading package numeric_std -- Loading package textio -- Loading package c_compare_v7_0_comp -- Loading package c_gate_bit_v7_0_comp -- Loading package c_gate_bit_bus_v7_0_comp -- Loading package c_addsub_v7_0_comp -- Loading package c_twos_comp_v7_0_comp -- Loading package c_shift_ram_v7_0_comp -- Loading package c_sin_cos_v5_0_comp -- Loading package blkmemdp_pkg_v6_0 -- Loading package blkmemdp_v6_0_comp -- Loading package c_accum_v7_0_comp -- Loading package c_dist_mem_v7_0_comp -- Loading package cmpy_pkg -- Loading package cmpy_v1_0_comp -- Loading package family -- Loading package fft30_synth_pkg -- Compiling entity fft30_flow_control_a -- Compiling architecture xilinx of fft30_flow_control_a -- Loading package std_logic_signed -- Compiling entity fft30_flow_control_b -- Compiling architecture xilinx of fft30_flow_control_b -- Compiling entity fft30_flow_control_c -- Compiling architecture xilinx of fft30_flow_control_c -- Compiling entity fft30_r22_flow_ctrl -- Compiling architecture xilinx of fft30_r22_flow_ctrl -- Compiling entity fft30_fde -- Compiling architecture xilinx of fft30_fde -- Compiling entity fft30_reg_fde -- Compiling architecture xilinx of fft30_reg_fde -- Compiling entity fft30_reg_fde_sclr -- Compiling architecture xilinx of fft30_reg_fde_sclr -- Compiling entity fft30_reg_fde_sr_1 -- Compiling architecture xilinx of fft30_reg_fde_sr_1 -- Compiling entity fft30_mux_bus -- Compiling architecture xilinx of fft30_mux_bus -- Compiling entity fft30_mux_bus_sclr -- Compiling architecture xilinx of fft30_mux_bus_sclr -- Compiling entity fft30_mux_bus2 -- Compiling architecture xilinx of fft30_mux_bus2 -- Compiling entity fft30_mux_bus2_1 -- Compiling architecture xilinx of fft30_mux_bus2_1 -- Compiling entity fft30_mux_bus16 -- Compiling architecture xilinx of fft30_mux_bus16 -- Compiling entity fft30_mux_bus8 -- Compiling architecture xilinx of fft30_mux_bus8 -- Compiling entity fft30_twos_comp -- Compiling architecture xilinx of fft30_twos_comp -- Compiling entity fft30_adder -- Compiling architecture xilinx of fft30_adder -- Compiling entity fft30_adder_bypass -- Compiling architecture xilinx of fft30_adder_bypass -- Compiling entity fft30_subtracter -- Compiling architecture xilinx of fft30_subtracter -- Compiling entity fft30_sub_byp -- Compiling architecture xilinx of fft30_sub_byp -- Compiling entity fft30_sub_byp_j -- Compiling architecture xilinx of fft30_sub_byp_j -- Loading package fft30_comps -- Compiling entity fft30_butterfly -- Compiling architecture xilinx of fft30_butterfly -- Compiling entity fft30_bfly_byp -- Compiling architecture xilinx of fft30_bfly_byp -- Compiling entity fft30_butterfly_j -- Compiling architecture xilinx of fft30_butterfly_j -- Compiling entity fft30_bfly_byp_j -- Compiling architecture xilinx of fft30_bfly_byp_j -- Loading package fft30_pkg -- Compiling entity fft30_mult -- Compiling architecture xilinx of fft30_mult -- Compiling entity fft30_shift_ram -- Compiling architecture xilinx of fft30_shift_ram -- Compiling entity fft30_complex_mult3 -- Compiling architecture xilinx of fft30_complex_mult3 -- Compiling entity fft30_complex_mult4 -- Compiling architecture xilinx of fft30_complex_mult4 -- Compiling entity fft30_dragonfly -- Compiling architecture xilinx of fft30_dragonfly -- Compiling entity fft30_dfly_byp -- Compiling architecture xilinx of fft30_dfly_byp -- Compiling entity fft30_and2 -- Compiling architecture xilinx of fft30_and2 -- Compiling entity fft30_and_gate -- Compiling architecture xilinx of fft30_and_gate -- Compiling entity fft30_and_bus_gate -- Compiling architecture xilinx of fft30_and_bus_gate -- Compiling entity fft30_shift_ram_1 -- Compiling architecture xilinx of fft30_shift_ram_1 -- Compiling entity fft30_shift_ram_sclr -- Compiling architecture xilinx of fft30_shift_ram_sclr -- Compiling entity fft30_shift_ram_1_sclr -- Compiling architecture xilinx of fft30_shift_ram_1_sclr -- Compiling entity fft30_c_lut -- Compiling architecture xilinx of fft30_c_lut -- Compiling entity fft30_c_lut_reg -- Compiling architecture xilinx of fft30_c_lut_reg -- Compiling entity fft30_c_lut_reg_sclr -- Compiling architecture xilinx of fft30_c_lut_reg_sclr -- Compiling entity fft30_compare -- Compiling architecture xilinx of fft30_compare -- Compiling entity fft30_xor_bit_gate -- Compiling architecture xilinx of fft30_xor_bit_gate -- Compiling entity fft30_xnor_bit_gate -- Compiling architecture xilinx of fft30_xnor_bit_gate -- Compiling entity fft30_io_addr_gen -- Compiling architecture xilinx of fft30_io_addr_gen -- Compiling entity fft30_out_addr_gen -- Compiling architecture xilinx of fft30_out_addr_gen -- Compiling entity fft30_out_addr_gen_b -- Compiling architecture xilinx of fft30_out_addr_gen_b -- Compiling entity fft30_rw_addr_gen -- Compiling architecture xilinx of fft30_rw_addr_gen -- Compiling entity fft30_rw_addr_gen_b -- Compiling architecture xilinx of fft30_rw_addr_gen_b -- Compiling entity fft30_tw_gen_p2 -- Compiling architecture xilinx of fft30_tw_gen_p2 -- Compiling entity fft30_tw_gen_p4 -- Compiling architecture xilinx of fft30_tw_gen_p4 -- Compiling entity fft30_in_switch4 -- Compiling architecture xilinx of fft30_in_switch4 -- Compiling entity fft30_tw_addr_gen -- Compiling architecture xilinx of fft30_tw_addr_gen -- Compiling entity fft30_out_switch4 -- Compiling architecture xilinx of fft30_out_switch4 -- Compiling entity fft30_max2_2 -- Compiling architecture xilinx of fft30_max2_2 -- Compiling entity fft30_comp8 -- Compiling architecture xilinx of fft30_comp8 -- Compiling entity fft30_range_r4 -- Compiling architecture xilinx of fft30_range_r4 -- Compiling entity fft30_range_r2 -- Compiling architecture xilinx of fft30_range_r2 -- Compiling entity fft30_in_ranger -- Compiling architecture xilinx of fft30_in_ranger -- Compiling entity fft30_r4_ranger -- Compiling architecture xilinx of fft30_r4_ranger -- Compiling entity fft30_arith_shift3 -- Compiling architecture xilinx of fft30_arith_shift3 -- Compiling entity fft30_arith_shift1 -- Compiling architecture xilinx of fft30_arith_shift1 -- Compiling entity fft30_overflow_gen -- Compiling architecture xilinx of fft30_overflow_gen -- Compiling entity fft30_unbiased_round -- Compiling architecture xilinx of fft30_unbiased_round -- Compiling entity fft30_pe4 -- Compiling architecture xilinx of fft30_pe4 -- Compiling entity fft30_sin_cos -- Compiling architecture xilinx of fft30_sin_cos -- Compiling entity fft30_dpm -- Compiling architecture xilinx of fft30_dpm -- Compiling entity fft30_dist_mem -- Compiling architecture xilinx of fft30_dist_mem -- Compiling entity fft30_scale_logic -- Compiling architecture xilinx of fft30_scale_logic -- Compiling entity fft30_r2_in_addr -- Compiling architecture xilinx of fft30_r2_in_addr -- Compiling entity fft30_r2_ovflo_gen -- Compiling architecture xilinx of fft30_r2_ovflo_gen -- Compiling entity fft30_r2_pe -- Compiling architecture xilinx of fft30_r2_pe -- Compiling entity fft30_r2_ranger -- Compiling architecture xilinx of fft30_r2_ranger -- Compiling entity fft30_r2_rw_addr -- Compiling architecture xilinx of fft30_r2_rw_addr -- Compiling entity fft30_r2_tw_addr -- Compiling architecture xilinx of fft30_r2_tw_addr -- Compiling entity fft30_r22_cmplx_mult -- Compiling architecture xilinx of fft30_r22_cmplx_mult -- Compiling entity fft30_r22_bfly_byp -- Compiling architecture xilinx of fft30_r22_bfly_byp -- Compiling entity fft30_r22_memory -- Compiling architecture xilinx of fft30_r22_memory -- Compiling entity fft30_r22_tw_gen -- Compiling architecture xilinx of fft30_r22_tw_gen -- Compiling entity fft30_r22_ovflo -- Compiling architecture xilinx of fft30_r22_ovflo -- Compiling entity fft30_r22_bf1_last_even -- Compiling architecture xilinx of fft30_r22_bf1_last_even -- Compiling entity fft30_r22_bf1_last_odd -- Compiling architecture xilinx of fft30_r22_bf1_last_odd -- Compiling entity fft30_r22_bf1_penult_odd -- Compiling architecture xilinx of fft30_r22_bf1_penult_odd -- Compiling entity fft30_r22_bf1_sp -- Compiling architecture xilinx of fft30_r22_bf1_sp -- Compiling entity fft30_r22_bf1 -- Compiling architecture xilinx of fft30_r22_bf1 -- Compiling entity fft30_r22_bf2_last_even -- Compiling architecture xilinx of fft30_r22_bf2_last_even -- Compiling entity fft30_r22_bf2_penult_even -- Compiling architecture xilinx of fft30_r22_bf2_penult_even -- Compiling entity fft30_r22_bf2_penult_odd -- Compiling architecture xilinx of fft30_r22_bf2_penult_odd -- Compiling entity fft30_r22_bf2_sp -- Compiling architecture xilinx of fft30_r22_bf2_sp -- Compiling entity fft30_r22_bf2 -- Compiling architecture xilinx of fft30_r22_bf2 -- Compiling entity fft30_r22_pe -- Compiling architecture xilinx of fft30_r22_pe -- Compiling entity fft30_r22_pe_last -- Compiling architecture xilinx of fft30_r22_pe_last -- Loading package fft30_bb_comps -- Compiling entity xfft_v3_0_a -- Compiling architecture xilinx of xfft_v3_0_a -- Compiling entity xfft_v3_0_b -- Compiling architecture xilinx of xfft_v3_0_b -- Compiling entity xfft_v3_0_c -- Compiling architecture xilinx of xfft_v3_0_c -- Compiling entity xfft_v3_0_d -- Compiling architecture xilinx of xfft_v3_0_d -- Compiling entity xfft_v3_0 -- Compiling architecture behavioral of xfft_v3_0 -- Compiling package xfft_v3_0_comp -- Compiling package body xfft_v3_0_comp -- Loading package xfft_v3_0_comp -- Loading package prims_constants_v6_0 -- Compiling package mult_const_pkg_v6_0 -- Loading package mult_const_pkg_v6_0 -- Compiling package parm_v6_0_services -- Compiling package body parm_v6_0_services -- Loading package parm_v6_0_services -- Loading package parm_v6_0_services -- Compiling package ccm_v6_0_services -- Compiling package body ccm_v6_0_services -- Loading package ccm_v6_0_services -- Loading package ccm_v6_0_services -- Compiling package sqm_v6_0_services -- Compiling package body sqm_v6_0_services -- Loading package sqm_v6_0_services -- Loading package sqm_v6_0_services -- Compiling package mult_gen_v6_0_services -- Compiling package body mult_gen_v6_0_services -- Loading package mult_gen_v6_0_services -- Loading package mult_gen_v6_0_services -- Compiling package mult_pkg_v6_0 -- Compiling package body mult_pkg_v6_0 -- Loading package mult_pkg_v6_0 -- Loading package mult_pkg_v6_0 -- Compiling entity mult_gen_v6_0_non_seq -- Compiling architecture behavioral of mult_gen_v6_0_non_seq -- Compiling package mult_gen_v6_0_non_seq_comp -- Loading package mult_gen_v6_0_non_seq_comp -- Loading package c_reg_fd_v6_0_comp -- Compiling entity mult_gen_v6_0_seq -- Compiling architecture behavioral of mult_gen_v6_0_seq -- Compiling package mult_gen_v6_0_seq_comp -- Loading package mult_gen_v6_0_seq_comp -- Compiling entity mult_gen_v6_0 -- Compiling architecture behavioral of mult_gen_v6_0 -- Compiling package mult_gen_v6_0_comp -- Loading package prims_utils_v6_0 -- Compiling entity c_gate_bus_v6_0 -- Compiling architecture behavioral of c_gate_bus_v6_0 -- Compiling package c_gate_bus_v6_0_comp -- Compiling entity c_gate_bit_bus_v6_0 -- Compiling architecture behavioral of c_gate_bit_bus_v6_0 -- Compiling package c_gate_bit_bus_v6_0_comp -- Compiling entity c_twos_comp_v6_0 -- Compiling architecture behavioral of c_twos_comp_v6_0 -- Compiling package c_twos_comp_v6_0_comp -- Loading package ul_utils -- Loading package iputils_mem87 -- Compiling entity c_shift_ram_v6_0 -- Compiling architecture behavioral of c_shift_ram_v6_0 -- Compiling package c_shift_ram_v6_0_comp -- Compiling entity c_mux_bit_v6_0 -- Compiling architecture behavioral of c_mux_bit_v6_0 -- Compiling package c_mux_bit_v6_0_comp -- Loading package c_mux_bit_v6_0_comp -- Compiling entity c_shift_fd_v6_0 -- Compiling architecture behavioral of c_shift_fd_v6_0 -- Compiling package c_shift_fd_v6_0_comp -- Loading package math_real -- Compiling package c_sin_cos_v4_2_pack -- Loading package iputils_std_logic_arith -- Compiling package body c_sin_cos_v4_2_pack -- Loading package c_sin_cos_v4_2_pack -- Compiling entity pipe_bhv_v4_2 -- Compiling architecture behavioral of pipe_bhv_v4_2 -- Compiling package pipe_bhv_v4_2_comp -- Loading package iputils_std_logic_unsigned -- Loading package c_shift_fd_v6_0_comp -- Loading package c_sin_cos_v4_2_pack -- Loading package pipe_bhv_v4_2_comp -- Compiling entity c_sin_cos_v4_2 -- Compiling architecture behavioral of c_sin_cos_v4_2 -- Loading entity c_shift_fd_v6_0 -- Loading entity pipe_bhv_v4_2 -- Loading entity c_reg_fd_v6_0 -- Compiling package c_sin_cos_v4_2_comp -- Compiling package blkmemdp_pkg_v5_0 -- Compiling package body blkmemdp_pkg_v5_0 -- Loading package blkmemdp_pkg_v5_0 -- Compiling package blkmemdp_mem_init_file_pack_v5_0 -- Compiling package body blkmemdp_mem_init_file_pack_v5_0 -- Loading package blkmemdp_mem_init_file_pack_v5_0 -- Loading package vital_timing -- Loading package blkmemdp_mem_init_file_pack_v5_0 -- Loading package blkmemdp_pkg_v5_0 -- Compiling entity blkmemdp_v5_0 -- Compiling architecture behavioral of blkmemdp_v5_0 -- Compiling package blkmemdp_v5_0_comp -- Loading package c_addsub_v6_0_comp -- Compiling entity c_accum_v6_0 -- Compiling architecture behavioral of c_accum_v6_0 -- Compiling package c_accum_v6_0_comp -- Compiling entity c_dist_mem_v6_0 -- Compiling architecture behavioral of c_dist_mem_v6_0 -- Compiling package c_dist_mem_v6_0_comp -- Loading package c_mux_bus_v6_0_comp -- Loading package mult_gen_v6_0_comp -- Loading package c_gate_bus_v6_0_comp -- Loading package prims_comps_v6_0 -- Loading package c_compare_v6_0_comp -- Loading package c_gate_bit_v6_0_comp -- Loading package c_gate_bit_bus_v6_0_comp -- Loading package c_twos_comp_v6_0_comp -- Loading package c_shift_ram_v6_0_comp -- Loading package c_sin_cos_v4_2_comp -- Loading package blkmemdp_v5_0_comp -- Loading package c_accum_v6_0_comp -- Loading package c_dist_mem_v6_0_comp -- Compiling package fft21_synth_pkg -- Compiling package body fft21_synth_pkg -- Loading package fft21_synth_pkg -- Compiling package fft21_pkg -- Compiling package body fft21_pkg -- Loading package fft21_pkg -- Compiling package fft21_bb_comps -- Compiling package fft21_synth_comps -- Compiling package fft21_comps -- Compiling entity fft21_equ_rtl -- Compiling architecture xilinx of fft21_equ_rtl -- Compiling entity fft21_fde_rtl -- Compiling architecture xilinx of fft21_fde_rtl -- Compiling entity fft21_fdre_rtl -- Compiling architecture xilinx of fft21_fdre_rtl -- Loading package fft21_synth_comps -- Compiling entity fft21_cnt_tc_rtl -- Compiling architecture xilinx of fft21_cnt_tc_rtl -- Compiling entity fft21_cnt_tc_rtl_a -- Compiling architecture xilinx of fft21_cnt_tc_rtl_a -- Compiling entity fft21_reg_rs_rtl -- Compiling architecture xilinx of fft21_reg_rs_rtl -- Loading package fft21_synth_pkg -- Compiling entity fft21_flow_control_a -- Compiling architecture xilinx of fft21_flow_control_a -- Compiling entity fft21_flow_control_b -- Compiling architecture xilinx of fft21_flow_control_b -- Compiling entity fft21_flow_control_c -- Compiling architecture xilinx of fft21_flow_control_c -- Compiling entity fft21_fde -- Compiling architecture xilinx of fft21_fde -- Compiling entity fft21_reg_fde -- Compiling architecture xilinx of fft21_reg_fde -- Compiling entity fft21_reg_fde_sclr -- Compiling architecture xilinx of fft21_reg_fde_sclr -- Compiling entity fft21_reg_fde_sr_1 -- Compiling architecture xilinx of fft21_reg_fde_sr_1 -- Compiling entity fft21_mux_bus -- Compiling architecture xilinx of fft21_mux_bus -- Compiling entity fft21_mux_bus_sclr -- Compiling architecture xilinx of fft21_mux_bus_sclr -- Compiling entity fft21_mux_bus2 -- Compiling architecture xilinx of fft21_mux_bus2 -- Compiling entity fft21_mux_bus16 -- Compiling architecture xilinx of fft21_mux_bus16 -- Compiling entity fft21_mux_bus8 -- Compiling architecture xilinx of fft21_mux_bus8 -- Compiling entity fft21_adder -- Compiling architecture xilinx of fft21_adder -- Compiling entity fft21_adder_bypass -- Compiling architecture xilinx of fft21_adder_bypass -- Compiling entity fft21_subtracter -- Compiling architecture xilinx of fft21_subtracter -- Compiling entity fft21_sub_byp -- Compiling architecture xilinx of fft21_sub_byp -- Compiling entity fft21_sub_byp_j -- Compiling architecture xilinx of fft21_sub_byp_j -- Loading package fft21_comps -- Compiling entity fft21_butterfly -- Compiling architecture xilinx of fft21_butterfly -- Compiling entity fft21_bfly_byp -- Compiling architecture xilinx of fft21_bfly_byp -- Compiling entity fft21_butterfly_j -- Compiling architecture xilinx of fft21_butterfly_j -- Compiling entity fft21_bfly_byp_j -- Compiling architecture xilinx of fft21_bfly_byp_j -- Compiling entity fft21_mult -- Compiling architecture xilinx of fft21_mult -- Loading package fft21_pkg -- Compiling entity fft21_complex_mult3 -- Compiling architecture xilinx of fft21_complex_mult3 -- Compiling entity fft21_dragonfly -- Compiling architecture xilinx of fft21_dragonfly -- Compiling entity fft21_dfly_byp -- Compiling architecture xilinx of fft21_dfly_byp -- Compiling entity fft21_and2 -- Compiling architecture xilinx of fft21_and2 -- Compiling entity fft21_and_gate -- Compiling architecture xilinx of fft21_and_gate -- Compiling entity fft21_and_bus_gate -- Compiling architecture xilinx of fft21_and_bus_gate -- Compiling entity fft21_shift_ram -- Compiling architecture xilinx of fft21_shift_ram -- Compiling entity fft21_shift_ram_1 -- Compiling architecture xilinx of fft21_shift_ram_1 -- Compiling entity fft21_shift_ram_sclr -- Compiling architecture xilinx of fft21_shift_ram_sclr -- Compiling entity fft21_shift_ram_1_sclr -- Compiling architecture xilinx of fft21_shift_ram_1_sclr -- Compiling entity fft21_c_lut -- Compiling architecture xilinx of fft21_c_lut -- Compiling entity fft21_c_lut_reg -- Compiling architecture xilinx of fft21_c_lut_reg -- Compiling entity fft21_c_lut_reg_sclr -- Compiling architecture xilinx of fft21_c_lut_reg_sclr -- Compiling entity fft21_compare -- Compiling architecture xilinx of fft21_compare -- Compiling entity fft21_xor_bit_gate -- Compiling architecture xilinx of fft21_xor_bit_gate -- Compiling entity fft21_xnor_bit_gate -- Compiling architecture xilinx of fft21_xnor_bit_gate -- Compiling entity fft21_io_addr_gen -- Compiling architecture xilinx of fft21_io_addr_gen -- Compiling entity fft21_out_addr_gen -- Compiling architecture xilinx of fft21_out_addr_gen -- Compiling entity fft21_rw_addr_gen -- Compiling architecture xilinx of fft21_rw_addr_gen -- Compiling entity fft21_tw_gen_p2 -- Compiling architecture xilinx of fft21_tw_gen_p2 -- Compiling entity fft21_tw_gen_p4 -- Compiling architecture xilinx of fft21_tw_gen_p4 -- Compiling entity fft21_in_switch4 -- Compiling architecture xilinx of fft21_in_switch4 -- Compiling entity fft21_tw_addr_gen -- Compiling architecture xilinx of fft21_tw_addr_gen -- Compiling entity fft21_out_switch4 -- Compiling architecture xilinx of fft21_out_switch4 -- Compiling entity fft21_max2_2 -- Compiling architecture xilinx of fft21_max2_2 -- Compiling entity fft21_comp8 -- Compiling architecture xilinx of fft21_comp8 -- Compiling entity fft21_range_r4 -- Compiling architecture xilinx of fft21_range_r4 -- Compiling entity fft21_range_r2 -- Compiling architecture xilinx of fft21_range_r2 -- Compiling entity fft21_in_ranger -- Compiling architecture xilinx of fft21_in_ranger -- Compiling entity fft21_r4_ranger -- Compiling architecture xilinx of fft21_r4_ranger -- Compiling entity fft21_arith_shift3 -- Compiling architecture xilinx of fft21_arith_shift3 -- Compiling entity fft21_overflow_gen -- Compiling architecture xilinx of fft21_overflow_gen -- Compiling entity fft21_unbiased_round -- Compiling architecture xilinx of fft21_unbiased_round -- Compiling entity fft21_pe4 -- Compiling architecture xilinx of fft21_pe4 -- Compiling entity fft21_sin_cos -- Compiling architecture xilinx of fft21_sin_cos -- Compiling entity fft21_dpm -- Compiling architecture xilinx of fft21_dpm -- Compiling entity fft21_dist_mem -- Compiling architecture xilinx of fft21_dist_mem -- Compiling entity fft21_scale_logic -- Compiling architecture xilinx of fft21_scale_logic -- Compiling entity fft21_r2_in_addr -- Compiling architecture xilinx of fft21_r2_in_addr -- Compiling entity fft21_r2_ovflo_gen -- Compiling architecture xilinx of fft21_r2_ovflo_gen -- Compiling entity fft21_r2_pe -- Compiling architecture xilinx of fft21_r2_pe -- Compiling entity fft21_r2_ranger -- Compiling architecture xilinx of fft21_r2_ranger -- Compiling entity fft21_r2_rw_addr -- Compiling architecture xilinx of fft21_r2_rw_addr -- Compiling entity fft21_r2_tw_addr -- Compiling architecture xilinx of fft21_r2_tw_addr -- Loading package fft21_bb_comps -- Compiling entity xfft_v2_1_a -- Compiling architecture xilinx of xfft_v2_1_a -- Loading package standard -- Loading package std_logic_1164 -- Loading package fft21_comps -- Loading package fft21_bb_comps -- Loading package fft21_pkg -- Loading package prims_constants_v6_0 -- Loading package c_reg_fd_v6_0_comp -- Loading package c_accum_v6_0_comp -- Compiling entity xfft_v2_1_b -- Compiling architecture xilinx of xfft_v2_1_b -- Compiling entity xfft_v2_1_c -- Compiling architecture xilinx of xfft_v2_1_c -- Compiling entity xfft_v2_1 -- Compiling architecture behavioral of xfft_v2_1 -- Compiling package xfft_v2_1_comp -- Compiling package body xfft_v2_1_comp -- Loading package xfft_v2_1_comp -- Loading package prims_constants_v2_0 -- Compiling package c_mac_v3_1_comp -- Loading package c_mux_bus_v6_0_comp -- Loading package mult_const_pkg_v6_0 -- Loading package mult_gen_v6_0_comp -- Loading package c_gate_bus_v6_0_comp -- Loading package prims_comps_v6_0 -- Loading package prims_utils_v6_0 -- Loading package numeric_std -- Loading package textio -- Loading package c_compare_v6_0_comp -- Loading package c_gate_bit_v6_0_comp -- Loading package c_gate_bit_bus_v6_0_comp -- Loading package c_addsub_v6_0_comp -- Loading package c_twos_comp_v6_0_comp -- Loading package c_shift_ram_v6_0_comp -- Loading package c_sin_cos_v4_2_comp -- Loading package blkmemdp_pkg_v5_0 -- Loading package blkmemdp_v5_0_comp -- Loading package c_dist_mem_v6_0_comp -- Compiling package fft20_synth_pkg -- Compiling package body fft20_synth_pkg -- Loading package fft20_synth_pkg -- Compiling package fft20_pkg -- Compiling package body fft20_pkg -- Loading package fft20_pkg -- Compiling package fft20_bb_comps -- Compiling package fft20_synth_comps -- Compiling package fft20_comps -- Compiling entity fft20_equ_rtl -- Compiling architecture xilinx of fft20_equ_rtl -- Compiling entity fft20_fde_rtl -- Compiling architecture xilinx of fft20_fde_rtl -- Compiling entity fft20_fdre_rtl -- Compiling architecture xilinx of fft20_fdre_rtl -- Loading package fft20_synth_comps -- Compiling entity fft20_cnt_tc_rtl -- Compiling architecture xilinx of fft20_cnt_tc_rtl -- Compiling entity fft20_cnt_tc_rtl_a -- Compiling architecture xilinx of fft20_cnt_tc_rtl_a -- Compiling entity fft20_reg_rs_rtl -- Compiling architecture xilinx of fft20_reg_rs_rtl -- Loading package fft20_synth_pkg -- Compiling entity fft20_flow_control_a -- Compiling architecture xilinx of fft20_flow_control_a -- Compiling entity fft20_flow_control_b -- Compiling architecture xilinx of fft20_flow_control_b -- Compiling entity fft20_flow_control_c -- Compiling architecture xilinx of fft20_flow_control_c -- Compiling entity fft20_fde -- Compiling architecture xilinx of fft20_fde -- Compiling entity fft20_reg_fde -- Compiling architecture xilinx of fft20_reg_fde -- Compiling entity fft20_reg_fde_sclr -- Compiling architecture xilinx of fft20_reg_fde_sclr -- Compiling entity fft20_reg_fde_sr_1 -- Compiling architecture xilinx of fft20_reg_fde_sr_1 -- Compiling entity fft20_mux_bus -- Compiling architecture xilinx of fft20_mux_bus -- Compiling entity fft20_mux_bus_sclr -- Compiling architecture xilinx of fft20_mux_bus_sclr -- Compiling entity fft20_mux_bus2 -- Compiling architecture xilinx of fft20_mux_bus2 -- Compiling entity fft20_mux_bus16 -- Compiling architecture xilinx of fft20_mux_bus16 -- Compiling entity fft20_mux_bus8 -- Compiling architecture xilinx of fft20_mux_bus8 -- Compiling entity fft20_adder -- Compiling architecture xilinx of fft20_adder -- Compiling entity fft20_adder_bypass -- Compiling architecture xilinx of fft20_adder_bypass -- Compiling entity fft20_subtracter -- Compiling architecture xilinx of fft20_subtracter -- Compiling entity fft20_sub_byp -- Compiling architecture xilinx of fft20_sub_byp -- Compiling entity fft20_sub_byp_j -- Compiling architecture xilinx of fft20_sub_byp_j -- Loading package fft20_comps -- Compiling entity fft20_butterfly -- Compiling architecture xilinx of fft20_butterfly -- Compiling entity fft20_bfly_byp -- Compiling architecture xilinx of fft20_bfly_byp -- Compiling entity fft20_butterfly_j -- Compiling architecture xilinx of fft20_butterfly_j -- Compiling entity fft20_bfly_byp_j -- Compiling architecture xilinx of fft20_bfly_byp_j -- Compiling entity fft20_mult -- Compiling architecture xilinx of fft20_mult -- Loading package fft20_pkg -- Compiling entity fft20_complex_mult3 -- Compiling architecture xilinx of fft20_complex_mult3 -- Compiling entity fft20_dragonfly -- Compiling architecture xilinx of fft20_dragonfly -- Compiling entity fft20_dfly_byp -- Compiling architecture xilinx of fft20_dfly_byp -- Compiling entity fft20_and2 -- Compiling architecture xilinx of fft20_and2 -- Compiling entity fft20_and_gate -- Compiling architecture xilinx of fft20_and_gate -- Compiling entity fft20_and_bus_gate -- Compiling architecture xilinx of fft20_and_bus_gate -- Compiling entity fft20_shift_ram -- Compiling architecture xilinx of fft20_shift_ram -- Compiling entity fft20_shift_ram_1 -- Compiling architecture xilinx of fft20_shift_ram_1 -- Compiling entity fft20_shift_ram_sclr -- Compiling architecture xilinx of fft20_shift_ram_sclr -- Compiling entity fft20_shift_ram_1_sclr -- Compiling architecture xilinx of fft20_shift_ram_1_sclr -- Compiling entity fft20_c_lut -- Compiling architecture xilinx of fft20_c_lut -- Compiling entity fft20_c_lut_reg -- Compiling architecture xilinx of fft20_c_lut_reg -- Compiling entity fft20_c_lut_reg_sclr -- Compiling architecture xilinx of fft20_c_lut_reg_sclr -- Compiling entity fft20_compare -- Compiling architecture xilinx of fft20_compare -- Compiling entity fft20_xor_bit_gate -- Compiling architecture xilinx of fft20_xor_bit_gate -- Compiling entity fft20_xnor_bit_gate -- Compiling architecture xilinx of fft20_xnor_bit_gate -- Compiling entity fft20_io_addr_gen -- Compiling architecture xilinx of fft20_io_addr_gen -- Compiling entity fft20_out_addr_gen -- Compiling architecture xilinx of fft20_out_addr_gen -- Compiling entity fft20_rw_addr_gen -- Compiling architecture xilinx of fft20_rw_addr_gen -- Compiling entity fft20_tw_gen_p2 -- Compiling architecture xilinx of fft20_tw_gen_p2 -- Compiling entity fft20_tw_gen_p4 -- Compiling architecture xilinx of fft20_tw_gen_p4 -- Compiling entity fft20_in_switch4 -- Compiling architecture xilinx of fft20_in_switch4 -- Compiling entity fft20_tw_addr_gen -- Compiling architecture xilinx of fft20_tw_addr_gen -- Compiling entity fft20_out_switch4 -- Compiling architecture xilinx of fft20_out_switch4 -- Compiling entity fft20_max2_2 -- Compiling architecture xilinx of fft20_max2_2 -- Compiling entity fft20_arith_shift3 -- Compiling architecture xilinx of fft20_arith_shift3 -- Compiling entity fft20_ranger -- Compiling architecture xilinx of fft20_ranger -- Compiling entity fft20_ranger3 -- Compiling architecture xilinx of fft20_ranger3 -- Compiling entity fft20_overflow_gen -- Compiling architecture xilinx of fft20_overflow_gen -- Compiling entity fft20_unbiased_round -- Compiling architecture xilinx of fft20_unbiased_round -- Compiling entity fft20_pe4 -- Compiling architecture xilinx of fft20_pe4 -- Compiling entity fft20_sin_cos -- Compiling architecture xilinx of fft20_sin_cos -- Compiling entity fft20_dpm -- Compiling architecture xilinx of fft20_dpm -- Compiling entity fft20_dist_mem -- Compiling architecture xilinx of fft20_dist_mem -- Compiling entity fft20_exp_growth -- Compiling architecture xilinx of fft20_exp_growth -- Compiling entity fft20_scale_logic -- Compiling architecture xilinx of fft20_scale_logic -- Compiling entity fft20_r2_in_addr -- Compiling architecture xilinx of fft20_r2_in_addr -- Compiling entity fft20_r2_ovflo_gen -- Compiling architecture xilinx of fft20_r2_ovflo_gen -- Compiling entity fft20_r2_pe -- Compiling architecture xilinx of fft20_r2_pe -- Compiling entity fft20_r2_ranger -- Compiling architecture xilinx of fft20_r2_ranger -- Compiling entity fft20_r2_scale_logic -- Compiling architecture xilinx of fft20_r2_scale_logic -- Compiling entity fft20_r2_rw_addr -- Compiling architecture xilinx of fft20_r2_rw_addr -- Compiling entity fft20_r2_tw_addr -- Compiling architecture xilinx of fft20_r2_tw_addr -- Loading package fft20_bb_comps -- Compiling entity xfft_v2_0_a -- Compiling architecture xilinx of xfft_v2_0_a -- Compiling entity xfft_v2_0_b -- Compiling architecture xilinx of xfft_v2_0_b -- Compiling entity xfft_v2_0_c -- Compiling architecture xilinx of xfft_v2_0_c -- Compiling entity xfft_v2_0 -- Compiling architecture behavioral of xfft_v2_0 -- Compiling package xfft_v2_0_comp -- Compiling package body xfft_v2_0_comp -- Loading package xfft_v2_0_comp -- Loading package ul_utils -- Compiling package mac_fir_v2_0_comp -- Compiling package mac_fir_v2_0_pack -- Loading package std_logic_arith -- Loading package std_logic_signed -- Compiling package ddc_v1_0_pack -- Compiling package body ddc_v1_0_pack -- Loading package ddc_v1_0_pack -- Loading package ddc_v1_0_pack -- Compiling package ddc_v1_0_comp -- Loading package mem_init_file_pack_v5_0 -- Loading package prims_constants_v4_0 -- Loading package prims_utils_v4_0 -- Loading package c_reg_fd_v4_0_comp -- Compiling entity c_shift_ram_v4_0 -- Compiling architecture behavioral of c_shift_ram_v4_0 -- Compiling package c_shift_ram_v4_0_comp -- Compiling entity c_twos_comp_v4_0 -- Compiling architecture behavioral of c_twos_comp_v4_0 -- Compiling package c_twos_comp_v4_0_comp -- Loading package prims_constants_v5_0 -- Compiling package mult_const_pkg_v4_0 -- Loading package mult_const_pkg_v4_0 -- Compiling package parm_v4_0_services -- Compiling package body parm_v4_0_services -- Loading package parm_v4_0_services -- Loading package parm_v4_0_services -- Compiling package ccm_v4_0_services -- Compiling package body ccm_v4_0_services -- Loading package ccm_v4_0_services -- Loading package ccm_v4_0_services -- Compiling package sqm_v4_0_services -- Compiling package body sqm_v4_0_services -- Loading package sqm_v4_0_services -- Loading package sqm_v4_0_services -- Compiling package mult_gen_v4_0_services -- Compiling package body mult_gen_v4_0_services -- Loading package mult_gen_v4_0_services -- Loading package mult_gen_v4_0_services -- Compiling package mult_pkg_v4_0 -- Compiling package body mult_pkg_v4_0 -- Loading package mult_pkg_v4_0 -- Loading package mult_pkg_v4_0 -- Compiling entity mult_gen_v4_0 -- Compiling architecture behavioral of mult_gen_v4_0 -- Compiling package mult_gen_v4_0_comp -- Compiling package vfft32_pkg_v3 -- Compiling package body vfft32_pkg_v3 -- Loading package vfft32_pkg_v3 -- Loading package vfft32_pkg_v3 -- Compiling package vfft32_comps_v3 -- Loading package vfft32_comps_v3 -- Compiling entity flip_flop_v3 -- Compiling architecture behavioral of flip_flop_v3 -- Compiling entity flip_flop_sclr_v3 -- Compiling architecture behavioral of flip_flop_sclr_v3 -- Compiling entity flip_flop_sclr_sset_v3 -- Compiling architecture behavioral of flip_flop_sclr_sset_v3 -- Compiling entity flip_flop_ainit_sclr_v3 -- Compiling architecture behavioral of flip_flop_ainit_sclr_v3 -- Compiling entity state_machine_v3 -- Compiling architecture behavioral of state_machine_v3 -- Loading package c_gate_bit_v4_0_comp -- Compiling entity or_a_b_32_v3 -- Compiling architecture behavioral of or_a_b_32_v3 -- Compiling entity or_a_b_c_32_v3 -- Compiling architecture behavioral of or_a_b_c_32_v3 -- Compiling entity xor_a_b_32_v3 -- Compiling architecture behavioral of xor_a_b_32_v3 -- Compiling entity nand_a_b_32_v3 -- Compiling architecture behavioral of nand_a_b_32_v3 -- Compiling entity and_a_b_32_v3 -- Compiling architecture behavioral of and_a_b_32_v3 -- Compiling entity and_a_notb_32_v3 -- Compiling architecture behavioral of and_a_notb_32_v3 -- Compiling entity srflop_v3 -- Compiling architecture behavioral of srflop_v3 -- Loading package c_shift_ram_v4_0_comp -- Compiling entity delay_wrapper_v3 -- Compiling architecture behavioral of delay_wrapper_v3 -- Loading package c_mux_bus_v4_0_comp -- Loading package c_counter_binary_v4_0_comp -- Compiling entity hand_shaking_v3 -- Compiling architecture behavioral of hand_shaking_v3 -- Loading package c_mux_bit_v4_0_comp -- Compiling entity addr_gen_v3 -- Compiling architecture behavioral of addr_gen_v3 -- Loading package c_dist_mem_v5_0_comp -- Compiling entity dmem_wkg_r_i_v3 -- Compiling architecture behavioral of dmem_wkg_r_i_v3 -- Compiling entity mem_address_v3 -- Compiling architecture behavioral of mem_address_v3 -- Loading package c_compare_v4_0_comp -- Compiling entity mem_ctrl_v3 -- Compiling architecture behavioral of mem_ctrl_v3 -- Loading package blkmemdp_pkg_v4_0 -- Loading package blkmemdp_v4_0_comp -- Compiling entity mem_wkg_r_i_v3 -- Compiling architecture behavioral of mem_wkg_r_i_v3 -- Compiling entity working_memory_v3 -- Compiling architecture behavioral of working_memory_v3 -- Loading package c_twos_comp_v4_0_comp -- Compiling entity conj_reg_v3 -- Compiling architecture behavioral of conj_reg_v3 -- Compiling entity input_working_result_memory_v3 -- Compiling architecture behavioral of input_working_result_memory_v3 -- Loading package mult_gen_v4_0_comp -- Loading package c_addsub_v4_0_comp -- Compiling entity complex_mult_v3 -- Compiling architecture behavioral of complex_mult_v3 -- Compiling entity complex_reg_conj_v3 -- Compiling architecture behavioral of complex_reg_conj_v3 -- Compiling entity butterfly_v3 -- Compiling architecture behavioral of butterfly_v3 -- Compiling entity butterfly_32_v3 -- Compiling architecture behavioral of butterfly_32_v3 -- Compiling entity bflyw0_v3 -- Compiling architecture behavioral of bflyw0_v3 -- Compiling entity bflyw_j_v3 -- Compiling architecture behavioral of bflyw_j_v3 -- Compiling entity fft4_32_v3 -- Compiling architecture behavioral of fft4_32_v3 -- Compiling entity bfly_buffer_v3 -- Compiling architecture behavioral of bfly_buffer_v3 -- Compiling entity bfly_buf_fft_v3 -- Compiling architecture behavioral of bfly_buf_fft_v3 -- Compiling entity phase_factor_adgen_v3 -- Compiling architecture behavioral of phase_factor_adgen_v3 -- Loading package c_sin_cos_v4_0_comp -- Compiling entity phase_factors_v3 -- Compiling architecture behavioral of phase_factors_v3 -- Compiling entity result_memory_v3 -- Compiling architecture behavioral of result_memory_v3 -- Loading package std_logic_unsigned -- Compiling entity vfft32_v3_0 -- Compiling architecture behavioral of vfft32_v3_0 -- Compiling package vfft32_v3_0_comp -- Compiling package mac_fir_v5_1_comp -- Compiling package tcc_decoder_3gpp_behv_pkg_v1_0 -- Compiling package body tcc_decoder_3gpp_behv_pkg_v1_0 -- Loading package tcc_decoder_3gpp_behv_pkg_v1_0 -- Loading package tcc_decoder_3gpp_behv_pkg_v1_0 -- Compiling entity tcc_decoder_3gpp_v1_0 -- Compiling architecture behavioral of tcc_decoder_3gpp_v1_0 -- Compiling package tcc_decoder_3gpp_v1_0_comp -- Compiling package body tcc_decoder_3gpp_v1_0_comp -- Loading package tcc_decoder_3gpp_v1_0_comp -- Compiling package tcc_encoder_3gpp_v1_0_comp -- Compiling package body tcc_encoder_3gpp_v1_0_comp -- Loading package tcc_encoder_3gpp_v1_0_comp -- Loading package prims_constants_v7_0 -- Loading package tcc_encoder_3gpp_v1_0_comp -- Compiling entity tcc_encoder_3gpp_v1_0 -- Compiling architecture behavioral of tcc_encoder_3gpp_v1_0 -- Compiling package tcc_decoder_behv_pkg_v1_0 -- Compiling package body tcc_decoder_behv_pkg_v1_0 -- Loading package tcc_decoder_behv_pkg_v1_0 -- Loading package tcc_decoder_behv_pkg_v1_0 -- Compiling entity tcc_decoder_v1_0 -- Compiling architecture behavioral of tcc_decoder_v1_0 -- Compiling package tcc_decoder_v1_0_comp -- Compiling package body tcc_decoder_v1_0_comp -- Loading package tcc_decoder_v1_0_comp -- Compiling package tcc_encoder_v1_0_comp -- Compiling package c_mac_v3_0_comp -- Loading package iputils_std_logic_arith -- Loading package iputils_std_logic_signed -- Compiling entity inverter -- Compiling architecture virtexii of inverter -- Compiling entity cmplx_butterfly -- Compiling architecture virtexii of cmplx_butterfly -- Compiling entity dragonfly -- Compiling architecture virtexii of dragonfly -- Compiling entity cmplx_mult -- Compiling architecture virtexii of cmplx_mult -- Compiling entity arithmetic_shift -- Compiling architecture virtexii of arithmetic_shift -- Compiling entity unbias_round -- Compiling architecture virtexii of unbias_round -- Compiling entity pe0 -- Compiling architecture virtexii of pe0 -- Compiling entity pe1 -- Compiling architecture virtexii of pe1 -- Compiling entity xfft1024_v1_1 -- Compiling architecture behav_vhdl of xfft1024_v1_1 -- Compiling package xfft1024_v1_1_comp -- Compiling package cic_pack_v3_0 -- Loading package cic_pack_v3_0 -- Compiling entity c_cic_v3_0 -- Compiling architecture behavioral of c_cic_v3_0 -- Compiling package c_cic_v3_0_comp -- Compiling package sid_const_pkg_behav_v4_0 -- Compiling package sid_mif_pkg_behav_v4_0 -- Compiling package body sid_mif_pkg_behav_v4_0 -- Loading package sid_mif_pkg_behav_v4_0 -- Loading package sid_const_pkg_behav_v4_0 -- Loading package sid_mif_pkg_behav_v4_0 -- Compiling package sid_pkg_behav_v4_0 -- Compiling package body sid_pkg_behav_v4_0 -- Loading package sid_pkg_behav_v4_0 -- Loading package sid_pkg_behav_v4_0 -- Compiling entity sid_bhv_forney_v4_0 -- Compiling architecture behavioral of sid_bhv_forney_v4_0 -- Compiling entity sid_bhv_rectangular_block_v4_0 -- Compiling architecture behavioral of sid_bhv_rectangular_block_v4_0 -- Compiling entity sid_v4_0 -- Compiling architecture behavioral of sid_v4_0 -- Compiling package sid_v4_0_comp -- Compiling package body sid_v4_0_comp -- Loading package sid_v4_0_comp -- Compiling entity cordic_v3_0 -- Loading package mult_const_pkg_v7_0 -- Loading package parm_v7_0_services -- Loading package ccm_v7_0_services -- Loading package sqm_v7_0_services -- Loading package mult_gen_v7_0_services -- Compiling package cordic_pack_beh -- Compiling package body cordic_pack_beh -- Loading package cordic_pack_beh -- Loading package cordic_pack_beh -- Compiling architecture behavioral of cordic_v3_0 -- Compiling package cordic_v3_0_comp -- Compiling package sid_const_pkg_behav_turbo_v1_0 -- Loading package sid_const_pkg_behav_turbo_v1_0 -- Compiling package sid_pkg_behav_turbo_v1_0 -- Compiling package body sid_pkg_behav_turbo_v1_0 -- Loading package sid_pkg_behav_turbo_v1_0 -- Loading package sid_pkg_behav_turbo_v1_0 -- Compiling entity sid_turbo_v1_0 -- Compiling architecture behavioral of sid_turbo_v1_0 -- Compiling package sid_turbo_v1_0_comp -- Compiling entity cordic_v2_0 -- Loading package parm_v6_0_services -- Loading package ccm_v6_0_services -- Loading package sqm_v6_0_services -- Loading package mult_gen_v6_0_services -- Compiling package cordic_pack_beh_v2_0 -- Compiling package body cordic_pack_beh_v2_0 -- Loading package cordic_pack_beh_v2_0 -- Loading package cordic_pack_beh_v2_0 -- Compiling architecture behavioral of cordic_v2_0 -- Compiling package cordic_v2_0_comp -- Compiling package sid_const_pkg_behav_v3_0 -- Compiling package sid_mif_pkg_behav_v3_0 -- Compiling package body sid_mif_pkg_behav_v3_0 -- Loading package sid_mif_pkg_behav_v3_0 -- Loading package sid_const_pkg_behav_v3_0 -- Loading package sid_mif_pkg_behav_v3_0 -- Compiling package sid_pkg_behav_v3_0 -- Compiling package body sid_pkg_behav_v3_0 -- Loading package sid_pkg_behav_v3_0 -- Loading package sid_pkg_behav_v3_0 -- Compiling entity sid_bhv_forney_v3_0 -- Compiling architecture behavioral of sid_bhv_forney_v3_0 -- Compiling entity sid_bhv_rectangular_block_v3_0 -- Compiling architecture behavioral of sid_bhv_rectangular_block_v3_0 -- Compiling entity sid_v3_0 -- Compiling architecture behavioral of sid_v3_0 -- Compiling package sid_v3_0_comp -- Compiling package body sid_v3_0_comp -- Loading package sid_v3_0_comp -- Compiling package da_2d_dct_pack_v2_0 -- Compiling package body da_2d_dct_pack_v2_0 -- Loading package da_2d_dct_pack_v2_0 -- Compiling package da_1d_dct_pack_v2_1 -- Compiling package body da_1d_dct_pack_v2_1 -- Loading package da_1d_dct_pack_v2_1 -- Loading package iputils_std_logic_unsigned -- Loading package da_1d_dct_pack_v2_1 -- Compiling entity c_da_1d_dct_v2_1 -- Compiling architecture behavioral of c_da_1d_dct_v2_1 -- Compiling package da_1d_dct_v2_1_comp -- Loading package da_1d_dct_v2_1_comp -- Loading package da_2d_dct_pack_v2_0 -- Compiling entity c_da_2d_dct_v2_0 -- Compiling architecture behavioral of c_da_2d_dct_v2_0 -- Compiling package da_2d_dct_v2_0_comp -- Compiling package convolution_pack_v4_0 -- Compiling package body convolution_pack_v4_0 -- Loading package convolution_pack_v4_0 -- Loading package convolution_pack_v4_0 -- Compiling entity convolution_v4_0 -- Compiling architecture behavioral of convolution_v4_0 -- Compiling package convolution_v4_0_comp -- Compiling package convolution_pack_v3_0 -- Compiling package body convolution_pack_v3_0 -- Loading package convolution_pack_v3_0 -- Loading package convolution_pack_v3_0 -- Compiling entity convolution_v3_0 -- Compiling architecture behavioral of convolution_v3_0 -- Compiling package convolution_v3_0_comp -- Compiling package viterbi_pack_v5 -- Compiling package body viterbi_pack_v5 -- Loading package viterbi_pack_v5 -- Loading package viterbi_pack_v5 -- Compiling entity viterbi_v5_0 -- Compiling architecture behavioral of viterbi_v5_0 -- Loading package standard -- Loading package std_logic_1164 -- Loading package prims_constants_v7_0 -- Compiling package viterbi_v5_0_comp -- Loading package ul_utils -- Compiling package bit_correlator_comps -- Loading package std_logic_arith -- Loading package std_logic_unsigned -- Loading package textio -- Compiling package bit_correlator_pack_v3_0 -- Compiling package body bit_correlator_pack_v3_0 -- Loading package bit_correlator_pack_v3_0 -- Loading package bit_correlator_pack_v3_0 -- Compiling entity c_bit_correlator_v3_0 -- Compiling architecture behavioral of c_bit_correlator_v3_0 -- Compiling package dafir_pack_v9_0 -- Loading package dafir_pack_v9_0 -- Compiling entity c_da_fir_v9_0 -- Compiling architecture behavioral of c_da_fir_v9_0 -- Compiling package c_da_fir_v9_0_comp -- Compiling package dafir_pack_v8_0 -- Loading package dafir_pack_v8_0 -- Compiling entity c_da_fir_v8_0 -- Compiling architecture behavioral of c_da_fir_v8_0 -- Compiling package c_da_fir_v8_0_comp -- Compiling package dafir_pack_v7_0 -- Loading package dafir_pack_v7_0 -- Compiling entity c_da_fir_v7_0 -- Compiling architecture behavioral of c_da_fir_v7_0 -- Compiling package c_da_fir_v7_0_comp -- Compiling package dafir_pack_v6_0 -- Loading package dafir_pack_v6_0 -- Compiling entity c_da_fir_v6_0 -- Compiling architecture behavioral of c_da_fir_v6_0 -- Compiling package da_fir_comps -- Compiling entity sdivider_v3_0 -- Compiling architecture behavioral of sdivider_v3_0 -- Compiling package sdivider_v3_0_comp -- Compiling package vfft_utils -- Compiling entity xdsp_cnt10 -- Compiling architecture behv of xdsp_cnt10 -- Compiling entity xdsp_cnt11 -- Compiling architecture behv of xdsp_cnt11 -- Compiling entity xdsp_cnt12 -- Compiling architecture behv of xdsp_cnt12 -- Compiling entity xdsp_cnt2 -- Compiling architecture behv of xdsp_cnt2 -- Compiling entity xdsp_cnt4 -- Compiling architecture behv of xdsp_cnt4 -- Compiling entity xdsp_cnt5 -- Compiling architecture behv of xdsp_cnt5 -- Compiling entity xdsp_cnt8 -- Compiling architecture behv of xdsp_cnt8 -- Compiling entity xdsp_cnt9 -- Compiling architecture behv of xdsp_cnt9 -- Compiling entity xdsp_cos1024 -- Compiling architecture behv of xdsp_cos1024 -- Compiling entity xdsp_cos256 -- Compiling architecture behv of xdsp_cos256 -- Compiling entity xdsp_cos64 -- Compiling architecture behv of xdsp_cos64 -- Compiling entity xdsp_coss16 -- Compiling architecture behv of xdsp_coss16 -- Compiling entity xdsp_mul16x17 -- Compiling architecture behv of xdsp_mul16x17 -- Compiling entity xdsp_mul16x17z4 -- Compiling architecture behv of xdsp_mul16x17z4 -- Compiling entity xdsp_mux2w1 -- Compiling architecture mux1 of xdsp_mux2w1 -- Compiling entity xdsp_mux2w16 -- Compiling architecture behv of xdsp_mux2w16 -- Compiling entity xdsp_mux2w16r -- Compiling architecture behv of xdsp_mux2w16r -- Compiling entity xdsp_mux2w4 -- Compiling architecture behv of xdsp_mux2w4 -- Compiling entity xdsp_mux2w4r -- Compiling architecture behv of xdsp_mux2w4r -- Compiling entity xdsp_mux3w1 -- Compiling architecture mux1 of xdsp_mux3w1 -- Compiling entity xdsp_mux4w16 -- Compiling architecture behv of xdsp_mux4w16 -- Compiling entity xdsp_mux4w16r -- Compiling architecture behv of xdsp_mux4w16r -- Compiling entity xdsp_radd16 -- Compiling architecture behv of xdsp_radd16 -- Compiling entity xdsp_radd16c -- Compiling architecture behv of xdsp_radd16c -- Compiling entity xdsp_radd17 -- Compiling architecture behv of xdsp_radd17 -- Compiling entity xdsp_ramd16a4 -- Compiling architecture behv of xdsp_ramd16a4 -- Compiling entity xdsp_reg16 -- Compiling architecture behavioral of xdsp_reg16 -- Compiling entity xdsp_reg16b -- Compiling architecture behavioral of xdsp_reg16b -- Compiling entity xdsp_reg16l -- Compiling architecture behavioral of xdsp_reg16l -- Compiling entity xdsp_reg4 -- Compiling architecture behavioral of xdsp_reg4 -- Compiling entity xdsp_rsub16 -- Compiling architecture behv of xdsp_rsub16 -- Compiling entity xdsp_rsub16b -- Compiling architecture behv of xdsp_rsub16b -- Compiling entity xdsp_rsub16c -- Compiling architecture behv of xdsp_rsub16c -- Compiling entity xdsp_rsub17 -- Compiling architecture behv of xdsp_rsub17 -- Compiling entity xdsp_rsub17b -- Compiling architecture behv of xdsp_rsub17b -- Compiling entity xdsp_sin1024 -- Compiling architecture behv of xdsp_sin1024 -- Compiling entity xdsp_sin256 -- Compiling architecture behv of xdsp_sin256 -- Compiling entity xdsp_sin64 -- Compiling architecture behv of xdsp_sin64 -- Compiling entity xdsp_sinn16 -- Compiling architecture behv of xdsp_sinn16 -- Compiling entity xdsp_tcompw16 -- Compiling architecture behv of xdsp_tcompw16 -- Compiling entity xdsp_tcompw16b -- Compiling architecture behv of xdsp_tcompw16b -- Compiling entity xdsp_tcompw17 -- Compiling architecture behv of xdsp_tcompw17 -- Compiling entity xdsp_triginv -- Compiling architecture behv of xdsp_triginv -- Compiling package fft_defsx_1024 -- Loading package fft_defsx_1024 -- Compiling entity cmplx_reg16_conj -- Compiling architecture struct of cmplx_reg16_conj -- Compiling entity cmplx_reg16_conjb -- Compiling architecture struct of cmplx_reg16_conjb -- Compiling entity cmplx_reg16_conjc -- Compiling architecture struct of cmplx_reg16_conjc -- Compiling entity state_mach -- Compiling architecture behavioral of state_mach -- Compiling entity fflce -- Compiling architecture fflce_arch of fflce -- Compiling entity ffrce -- Compiling architecture ffrce_arch of ffrce -- Loading package vcomponents -- Compiling entity z19w1 -- Compiling architecture z19w1_arch of z19w1 -- Compiling entity z20w1 -- Compiling architecture struct of z20w1 -- Compiling entity z47w1 -- Compiling architecture struct of z47w1 -- Compiling entity z49w1 -- Compiling architecture struct of z49w1 -- Compiling entity z17w1 -- Compiling architecture struct of z17w1 -- Compiling entity xmul16x17 -- Compiling architecture struct of xmul16x17 -- Compiling entity shift_reg2b -- Compiling architecture shift_reg2b_arch of shift_reg2b -- Compiling entity xmux4w16r -- Compiling architecture behv of xmux4w16r -- Compiling entity xmux4w16rb -- Compiling architecture behv of xmux4w16rb -- Compiling entity xmux2w16r -- Compiling architecture struct of xmux2w16r -- Compiling entity bflyw0_16 -- Compiling architecture struct of bflyw0_16 -- Compiling entity bflywj_16 -- Compiling architecture struct of bflywj_16 -- Compiling entity bflyw0_17 -- Compiling architecture struct of bflyw0_17 -- Compiling entity fft4 -- Compiling architecture struct of fft4 -- Compiling entity dragonfly_1024 -- Compiling architecture struct of dragonfly_1024 -- Compiling entity phase_agen_1024 -- Compiling architecture phase_agen_arch of phase_agen_1024 -- Compiling entity phase_factors_1024 -- Compiling architecture phase_factors_arch of phase_factors_1024 -- Compiling entity fft_dbl_bufr_1024 -- Compiling architecture struct of fft_dbl_bufr_1024 -- Compiling entity fft4_engine -- Compiling architecture struct of fft4_engine -- Compiling entity index_map_1024 -- Compiling architecture behv of index_map_1024 -- Compiling entity fft_cntrlx_1024 -- Compiling architecture behv of fft_cntrlx_1024 -- Compiling entity fft_rd_agenx_1024 -- Compiling architecture fft_rd_agen1 of fft_rd_agenx_1024 -- Compiling entity fft_wr_agenx_1024 -- Compiling architecture fft_wr_agen1 of fft_wr_agenx_1024 -- Compiling package fft_defs_64 -- Compiling entity z16w1 -- Compiling architecture struct of z16w1 -- Compiling entity z18w1 -- Compiling architecture struct of z18w1 -- Compiling entity xmul16x17z -- Compiling architecture struct of xmul16x17z -- Compiling entity xmux2w16 -- Compiling architecture struct of xmux2w16 -- Compiling entity xmux4w16 -- Compiling architecture struct of xmux4w16 -- Loading package fft_defs_64 -- Compiling entity dragonfly_64 -- Compiling architecture struct of dragonfly_64 -- Compiling entity fft_rd_agen_64 -- Compiling architecture struct of fft_rd_agen_64 -- Compiling entity fft_wr_agen_64 -- Compiling architecture struct of fft_wr_agen_64 -- Compiling entity phase_agen_64 -- Compiling architecture behv of phase_agen_64 -- Compiling entity fft_cntrl_64 -- Compiling architecture virtex_fft_cntrl of fft_cntrl_64 -- Compiling entity phase_factors_64 -- Compiling architecture phase_factors_arch of phase_factors_64 -- Compiling package fft_defsx_256 -- Compiling entity dragonfly_256 -- Compiling architecture struct of dragonfly_256 -- Loading package fft_defsx_256 -- Compiling entity phase_agen_256 -- Compiling architecture phase_agen_arch of phase_agen_256 -- Compiling entity phase_factors_256 -- Compiling architecture phase_factors_arch of phase_factors_256 -- Compiling entity fft_dbl_bufr -- Compiling architecture struct of fft_dbl_bufr -- Compiling entity index_map_256 -- Compiling architecture behv of index_map_256 -- Compiling entity fft_cntrlx_256 -- Compiling architecture behv of fft_cntrlx_256 -- Compiling entity fft_rd_agenx_256 -- Compiling architecture fft_rd_agen_256 of fft_rd_agenx_256 -- Compiling entity fft_wr_agenx_256 -- Compiling architecture fft_wr_agen_256 of fft_wr_agenx_256 -- Compiling package fft_defs_16 -- Compiling entity z4w1 -- Compiling architecture struct of z4w1 -- Compiling entity z36w1 -- Compiling architecture struct of z36w1 -- Compiling entity z46w1 -- Compiling architecture struct of z46w1 -- Compiling entity xmux4w16br -- Compiling architecture behv of xmux4w16br -- Loading package fft_defs_16 -- Compiling entity fft4_16 -- Compiling architecture struct of fft4_16 -- Compiling entity fft4b -- Compiling architecture struct of fft4b -- Compiling entity dragonfly_16 -- Compiling architecture struct of dragonfly_16 -- Compiling entity input_dbl_bufr -- Compiling architecture struct of input_dbl_bufr -- Compiling entity fft_dbl_bufr_16 -- Compiling architecture struct of fft_dbl_bufr_16 -- Compiling entity bitrev_bufr -- Compiling architecture struct of bitrev_bufr -- Compiling entity fft_cntrl_16 -- Compiling architecture virtex_fft_cntrl of fft_cntrl_16 -- Loading package vfft_utils -- Compiling entity vfft16 -- Compiling architecture behavioral of vfft16 -- Compiling package vfft16_comp -- Compiling entity vfft1024 -- Compiling architecture behavioral of vfft1024 -- Compiling package vfft1024_comp -- Compiling entity vfft64 -- Compiling architecture behavioral of vfft64 -- Compiling package vfft64_comp -- Compiling entity vfft256 -- Compiling architecture behavioral of vfft256 -- Compiling package vfft256_comp -- Compiling package decode_8b10b_v7_1_pkg -- Compiling package body decode_8b10b_v7_1_pkg -- Loading package decode_8b10b_v7_1_pkg -- Loading package decode_8b10b_v7_1_pkg -- Loading package iputils_conv -- Compiling entity decode_8b10b_v7_1_base -- Compiling architecture behavioral of decode_8b10b_v7_1_base -- Loading entity decode_8b10b_v7_1_base -- Compiling entity decode_8b10b_v7_1 -- Compiling architecture behavioral of decode_8b10b_v7_1 -- Compiling package decode_8b10b_v7_1_comp -- Compiling package decode_8b10b_v7_0_pkg -- Compiling package body decode_8b10b_v7_0_pkg -- Loading package decode_8b10b_v7_0_pkg -- Loading package decode_8b10b_v7_0_pkg -- Compiling entity decode_8b10b_v7_0_base -- Compiling architecture behavioral of decode_8b10b_v7_0_base -- Loading entity decode_8b10b_v7_0_base -- Compiling entity decode_8b10b_v7_0 -- Compiling architecture behavioral of decode_8b10b_v7_0 -- Compiling package decode_8b10b_v7_0_comp -- Compiling package decode_8b10b_v6_0_pkg -- Compiling package body decode_8b10b_v6_0_pkg -- Loading package decode_8b10b_v6_0_pkg -- Loading package decode_8b10b_v6_0_pkg -- Compiling entity decode_8b10b_v6_0_base -- Compiling architecture behavioral of decode_8b10b_v6_0_base -- Loading entity decode_8b10b_v6_0_base -- Compiling entity decode_8b10b_v6_0 -- Compiling architecture behavioral of decode_8b10b_v6_0 -- Compiling package decode_8b10b_v6_0_comp -- Compiling entity encode_8b10b_v5_0_base -- Compiling architecture behavioral of encode_8b10b_v5_0_base -- Loading entity encode_8b10b_v5_0_base -- Compiling entity encode_8b10b_v5_0 -- Compiling architecture behavioral of encode_8b10b_v5_0 -- Compiling package encode_8b10b_v5_0_comp -- Compiling package decode_8b10b_v5_0_pkg -- Compiling package body decode_8b10b_v5_0_pkg -- Loading package decode_8b10b_v5_0_pkg -- Loading package decode_8b10b_v5_0_pkg -- Compiling entity decode_8b10b_v5_0_base -- Compiling architecture behavioral of decode_8b10b_v5_0_base -- Loading entity decode_8b10b_v5_0_base -- Compiling entity decode_8b10b_v5_0 -- Compiling architecture behavioral of decode_8b10b_v5_0 -- Compiling package decode_8b10b_v5_0_comp -- Compiling entity encode_8b10b_v4_0_base -- Compiling architecture behavioral of encode_8b10b_v4_0_base -- Loading entity encode_8b10b_v4_0_base -- Compiling entity encode_8b10b_v4_0 -- Compiling architecture behavioral of encode_8b10b_v4_0 -- Compiling package encode_8b10b_v4_0_comp "END_COMPILE" ============================================================================== > Log file C:\DESIGNS\FPGA\SimLib\XilinxCoreLib\cxl_XilinxCoreLib.log generated > Library mapping successful, setup file(s) modelsim.ini updated compxlib[XilinxCoreLib]: No error(s), 3 warning(s) --> Compiling vhdl smartmodel(unisim) library > use -smartmodel_setup switch in case you want to configure the modelsim.ini for smart model usage (SWIFT Interface) > Unisim Smart-Models compiled to C:\DESIGNS\FPGA\SimLib\unisim ============================================================================== Modifying modelsim.ini "START_COMPILE:" Model Technology ModelSim ALTERA vcom 6.1d Compiler 2006.01 Jan 23 2006 -- Loading package standard -- Loading package std_logic_1164 -- Compiling entity dcc_fpgacore_swift -- Compiling architecture smartmodel of dcc_fpgacore_swift -- Compiling entity emac_swift -- Compiling architecture smartmodel of emac_swift -- Compiling entity gt10_swift -- Compiling architecture smartmodel of gt10_swift -- Compiling entity gt11_swift -- Compiling architecture smartmodel of gt11_swift -- Compiling entity gt_swift -- Compiling architecture smartmodel of gt_swift -- Compiling entity ppc405_adv_swift -- Compiling architecture smartmodel of ppc405_adv_swift -- Compiling entity ppc405_swift -- Compiling architecture smartmodel of ppc405_swift -- Loading package numeric_std -- Compiling entity dcc_fpgacore_swift_bus -- Compiling architecture dcc_fpgacore_swift_bus_v of dcc_fpgacore_swift_bus -- Loading entity dcc_fpgacore_swift -- Compiling entity emac_swift_bus -- Compiling architecture emac_swift_bus_v of emac_swift_bus -- Loading entity emac_swift -- Compiling entity gt10_swift_bus -- Compiling architecture gt10_swift_bus_v of gt10_swift_bus -- Loading entity gt10_swift -- Compiling entity gt11_swift_bus -- Compiling architecture gt11_swift_bus_v of gt11_swift_bus -- Loading entity gt11_swift -- Compiling entity gt_swift_bus -- Compiling architecture gt_swift_bus_v of gt_swift_bus -- Loading entity gt_swift -- Compiling entity ppc405_adv_swift_bus -- Compiling architecture ppc405_adv_swift_bus_v of ppc405_adv_swift_bus -- Loading entity ppc405_adv_swift -- Compiling entity ppc405_swift_bus -- Compiling architecture ppc405_swift_bus_v of ppc405_swift_bus -- Loading entity ppc405_swift Model Technology ModelSim ALTERA vcom 6.1d Compiler 2006.01 Jan 23 2006 -- Loading package standard -- Loading package std_logic_1164 -- Loading package vcomponents -- Compiling entity dcc_fpgacore -- Compiling architecture dcc_fpgacore_v of dcc_fpgacore -- Loading package vital_timing -- Compiling entity gt -- Compiling architecture gt_v of gt -- Compiling entity gt10 -- Compiling architecture gt10_v of gt10 -- Compiling entity gt10_10ge_4 -- Compiling architecture gt10_10ge_4_v of gt10_10ge_4 -- Compiling entity gt10_10ge_8 -- Compiling architecture gt10_10ge_8_v of gt10_10ge_8 -- Compiling entity gt10_10gfc_4 -- Compiling architecture gt10_10gfc_4_v of gt10_10gfc_4 -- Compiling entity gt10_10gfc_8 -- Compiling architecture gt10_10gfc_8_v of gt10_10gfc_8 -- Compiling entity gt10_aurora_1 -- Compiling architecture gt10_aurora_1_v of gt10_aurora_1 -- Compiling entity gt10_aurora_2 -- Compiling architecture gt10_aurora_2_v of gt10_aurora_2 -- Compiling entity gt10_aurora_4 -- Compiling architecture gt10_aurora_4_v of gt10_aurora_4 -- Compiling entity gt10_aurorax_4 -- Compiling architecture gt10_aurorax_4_v of gt10_aurorax_4 -- Compiling entity gt10_aurorax_8 -- Compiling architecture gt10_aurorax_8_v of gt10_aurorax_8 -- Compiling entity gt10_custom -- Compiling architecture gt10_custom_v of gt10_custom -- Compiling entity gt10_infiniband_1 -- Compiling architecture gt10_infiniband_1_v of gt10_infiniband_1 -- Compiling entity gt10_infiniband_2 -- Compiling architecture gt10_infiniband_2_v of gt10_infiniband_2 -- Compiling entity gt10_infiniband_4 -- Compiling architecture gt10_infiniband_4_v of gt10_infiniband_4 -- Compiling entity gt10_oc192_4 -- Compiling architecture gt10_oc192_4_v of gt10_oc192_4 -- Compiling entity gt10_oc192_8 -- Compiling architecture gt10_oc192_8_v of gt10_oc192_8 -- Compiling entity gt10_oc48_1 -- Compiling architecture gt10_oc48_1_v of gt10_oc48_1 -- Compiling entity gt10_oc48_2 -- Compiling architecture gt10_oc48_2_v of gt10_oc48_2 -- Compiling entity gt10_oc48_4 -- Compiling architecture gt10_oc48_4_v of gt10_oc48_4 -- Compiling entity gt10_pci_express_1 -- Compiling architecture gt10_pci_express_1_v of gt10_pci_express_1 -- Compiling entity gt10_pci_express_2 -- Compiling architecture gt10_pci_express_2_v of gt10_pci_express_2 -- Compiling entity gt10_pci_express_4 -- Compiling architecture gt10_pci_express_4_v of gt10_pci_express_4 -- Compiling entity gt10_xaui_1 -- Compiling architecture gt10_xaui_1_v of gt10_xaui_1 -- Compiling entity gt10_xaui_2 -- Compiling architecture gt10_xaui_2_v of gt10_xaui_2 -- Compiling entity gt10_xaui_4 -- Compiling architecture gt10_xaui_4_v of gt10_xaui_4 -- Compiling entity gt_aurora_1 -- Compiling architecture gt_aurora_1_v of gt_aurora_1 -- Compiling entity gt_aurora_2 -- Compiling architecture gt_aurora_2_v of gt_aurora_2 -- Compiling entity gt_aurora_4 -- Compiling architecture gt_aurora_4_v of gt_aurora_4 -- Compiling entity gt_custom -- Compiling architecture gt_custom_v of gt_custom -- Compiling entity gt_ethernet_1 -- Compiling architecture gt_ethernet_1_v of gt_ethernet_1 -- Compiling entity gt_ethernet_2 -- Compiling architecture gt_ethernet_2_v of gt_ethernet_2 -- Compiling entity gt_ethernet_4 -- Compiling architecture gt_ethernet_4_v of gt_ethernet_4 -- Compiling entity gt_fibre_chan_1 -- Compiling architecture gt_fibre_chan_1_v of gt_fibre_chan_1 -- Compiling entity gt_fibre_chan_2 -- Compiling architecture gt_fibre_chan_2_v of gt_fibre_chan_2 -- Compiling entity gt_fibre_chan_4 -- Compiling architecture gt_fibre_chan_4_v of gt_fibre_chan_4 -- Compiling entity gt_infiniband_1 -- Compiling architecture gt_infiniband_1_v of gt_infiniband_1 -- Compiling entity gt_infiniband_2 -- Compiling architecture gt_infiniband_2_v of gt_infiniband_2 -- Compiling entity gt_infiniband_4 -- Compiling architecture gt_infiniband_4_v of gt_infiniband_4 -- Compiling entity gt_xaui_1 -- Compiling architecture gt_xaui_1_v of gt_xaui_1 -- Compiling entity gt_xaui_2 -- Compiling architecture gt_xaui_2_v of gt_xaui_2 -- Compiling entity gt_xaui_4 -- Compiling architecture gt_xaui_4_v of gt_xaui_4 -- Compiling entity jtagppc -- Compiling architecture jtagppc_v of jtagppc -- Compiling entity fpga_startup -- Compiling architecture fpga_startup_v of fpga_startup -- Compiling entity ppc405 -- Compiling architecture ppc405_v of ppc405 -- Compiling entity emac -- Compiling architecture emac_v of emac -- Compiling entity gt11 -- Compiling architecture gt11_v of gt11 -- Compiling entity gt11_custom -- Compiling architecture gt11_custom_v of gt11_custom -- Compiling entity gt11_dual -- Compiling architecture gt11_dual_v of gt11_dual -- Compiling entity fpga_startup_virtex4 -- Compiling architecture fpga_startup_virtex4_v of fpga_startup_virtex4 -- Compiling entity ppc405_adv -- Compiling architecture ppc405_adv_v of ppc405_adv "END_COMPILE:" ============================================================================== > Log file C:\DESIGNS\FPGA\SimLib\unisim\cxl_smartmodel.log generated > Library mapping successful, setup file(s) modelsim.ini updated compxlib[smartmodel]: No error(s), no warning(s) --> Compiling vhdl smartmodel(simprim) library > use -smartmodel_setup switch in case you want to configure the modelsim.ini for smart model usage (SWIFT Interface) > Simprim Smart-Models compiled to C:\DESIGNS\FPGA\SimLib\simprim ============================================================================== Modifying modelsim.ini "START_COMPILE:" Model Technology ModelSim ALTERA vcom 6.1d Compiler 2006.01 Jan 23 2006 -- Loading package standard -- Loading package std_logic_1164 -- Compiling entity dcc_fpgacore_swift -- Compiling architecture smartmodel of dcc_fpgacore_swift -- Compiling entity emac_swift -- Compiling architecture smartmodel of emac_swift -- Compiling entity gt10_swift -- Compiling architecture smartmodel of gt10_swift -- Compiling entity gt11_swift -- Compiling architecture smartmodel of gt11_swift -- Compiling entity gt_swift -- Compiling architecture smartmodel of gt_swift -- Compiling entity ppc405_adv_swift -- Compiling architecture smartmodel of ppc405_adv_swift -- Compiling entity ppc405_swift -- Compiling architecture smartmodel of ppc405_swift -- Loading package numeric_std -- Compiling entity dcc_fpgacore_swift_bus -- Compiling architecture dcc_fpgacore_swift_bus_v of dcc_fpgacore_swift_bus -- Loading entity dcc_fpgacore_swift -- Compiling entity emac_swift_bus -- Compiling architecture emac_swift_bus_v of emac_swift_bus -- Loading entity emac_swift -- Compiling entity gt10_swift_bus -- Compiling architecture gt10_swift_bus_v of gt10_swift_bus -- Loading entity gt10_swift -- Compiling entity gt11_swift_bus -- Compiling architecture gt11_swift_bus_v of gt11_swift_bus -- Loading entity gt11_swift -- Compiling entity gt_swift_bus -- Compiling architecture gt_swift_bus_v of gt_swift_bus -- Loading entity gt_swift -- Compiling entity ppc405_adv_swift_bus -- Compiling architecture ppc405_adv_swift_bus_v of ppc405_adv_swift_bus -- Loading entity ppc405_adv_swift -- Compiling entity ppc405_swift_bus -- Compiling architecture ppc405_swift_bus_v of ppc405_swift_bus -- Loading entity ppc405_swift Model Technology ModelSim ALTERA vcom 6.1d Compiler 2006.01 Jan 23 2006 -- Loading package standard -- Loading package std_logic_1164 -- Loading package numeric_std -- Loading package vital_timing -- Loading package vcomponents -- Compiling entity x_gt -- Compiling architecture x_gt_v of x_gt -- Loading package vcomponents -- Compiling entity x_gt10 -- Compiling architecture x_gt10_v of x_gt10 -- Loading package vcomponents -- Compiling entity fpga_startup -- Loading package standard -- Compiling architecture fpga_startup_v of fpga_startup -- Loading package std_logic_1164 -- Loading package vital_timing -- Loading package vcomponents -- Loading entity fpga_startup -- Compiling entity x_ppc405 -- Compiling architecture x_ppc405_v of x_ppc405 -- Loading package vcomponents -- Compiling entity x_emac -- Compiling architecture x_emac_v of x_emac -- Loading package numeric_std -- Loading package vcomponents -- Compiling entity x_gt11 -- Loading package standard -- Compiling architecture x_gt11_v of x_gt11 -- Loading package std_logic_1164 -- Loading package numeric_std -- Loading package vital_timing -- Loading package vcomponents -- Loading entity x_gt11 -- Compiling entity fpga_startup_virtex4 -- Compiling architecture fpga_startup_virtex4_v of fpga_startup_virtex4 -- Loading package vcomponents -- Compiling entity x_ppc405_adv -- Compiling architecture x_ppc405_adv_v of x_ppc405_adv -- Loading package standard "END_COMPILE:" ============================================================================== > Log file C:\DESIGNS\FPGA\SimLib\simprim\cxl_smartmodel.log generated > Library mapping successful, setup file(s) modelsim.ini updated compxlib[smartmodel]: No error(s), no warning(s) ************************************************************************** * COMPILATION SUMMARY * * * * Simulator used: mti_se * * Compiled on: Tue Oct 10 14:28:03 2006 * * * ************************************************************************** * Library | Lang | Mapped Name(s) | Err#(s) | Warn#(s) * *------------------------------------------------------------------------* * unisim | vhdl | unisim | 0 | 0 * *------------------------------------------------------------------------* * simprim | vhdl | simprim | 0 | 0 * *------------------------------------------------------------------------* * XilinxCoreLib | vhdl | XilinxCoreLib | 0 | 3 * *------------------------------------------------------------------------* * smartmodel | vhdl | unisim | 0 | 0 * *------------------------------------------------------------------------* * smartmodel | vhdl | simprim | 0 | 0 * *------------------------------------------------------------------------*